OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_14bit.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_14bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 14 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_14bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 14 bit with output 15 bit
15
-------------------------------------------------------------------------------
16 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
 
42
entity adder_14bit is
43
   port (
44
      addend_14bit  : in  bit_vector (13 downto 0);
45
      augend_14bit  : in  bit_vector (13 downto 0);
46
      adder14_output: out bit_vector (14 downto 0) -- 15bit output
47
      );
48
end adder_14bit;
49
 
50
architecture structural of adder_14bit is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
-- internal signal
63
signal c00 : bit;
64
signal c01 : bit;
65
signal c02 : bit;
66
signal c03 : bit;
67
signal c04 : bit;
68
signal c05 : bit;
69
signal c06 : bit;
70
signal c07 : bit;
71
signal c08 : bit;
72
signal c09 : bit;
73
signal c10 : bit;
74
signal c11 : bit;
75
signal c12 : bit;
76
signal c13 : bit;
77
signal c14 : bit;
78
signal over14 : bit;
79 22 arif_endro
signal adder14_output_int : bit_vector (13 downto 0);
80
signal ov  : bit;
81 2 arif_endro
 
82
begin
83
 
84
c00                     <= '0';
85
over14                  <= (addend_14bit (13) xor augend_14bit (13));
86 22 arif_endro
ov                      <= ((adder14_output_int (13) and over14) or
87 2 arif_endro
                           (c14 and (not (over14))));
88 22 arif_endro
adder14_output(13 downto 00) <= adder14_output_int;
89
adder14_output(14)           <= ov;
90 2 arif_endro
 
91
fa13 : fulladder
92
   port map (
93
      addend     => addend_14bit(13),
94
      augend     => augend_14bit(13),
95
      carry_in   => c13,
96
      sum        => adder14_output_int(13),
97
      carry      => c14
98
      );
99
 
100
fa12 : fulladder
101
   port map (
102
      addend     => addend_14bit(12),
103
      augend     => augend_14bit(12),
104
      carry_in   => c12,
105
      sum        => adder14_output_int(12),
106
      carry      => c13
107
      );
108
 
109
fa11 : fulladder
110
   port map (
111
      addend     => addend_14bit(11),
112
      augend     => augend_14bit(11),
113
      carry_in   => c11,
114
      sum        => adder14_output_int(11),
115
      carry      => c12
116
      );
117
 
118
fa10 : fulladder
119
   port map (
120
      addend     => addend_14bit(10),
121
      augend     => augend_14bit(10),
122
      carry_in   => c10,
123
      sum        => adder14_output_int(10),
124
      carry      => c11
125
      );
126
 
127
fa09 : fulladder
128
   port map (
129
      addend     => addend_14bit(09),
130
      augend     => augend_14bit(09),
131
      carry_in   => c09,
132
      sum        => adder14_output_int(09),
133
      carry      => c10
134
      );
135
 
136
fa08 : fulladder
137
   port map (
138
      addend     => addend_14bit(08),
139
      augend     => augend_14bit(08),
140
      carry_in   => c08,
141
      sum        => adder14_output_int(08),
142
      carry      => c09
143
      );
144
 
145
fa07 : fulladder
146
   port map (
147
      addend     => addend_14bit(07),
148
      augend     => augend_14bit(07),
149
      carry_in   => c07,
150
      sum        => adder14_output_int(07),
151
      carry      => c08
152
      );
153
 
154
fa06 : fulladder
155
   port map (
156
      addend     => addend_14bit(06),
157
      augend     => augend_14bit(06),
158
      carry_in   => c06,
159
      sum        => adder14_output_int(06),
160
      carry      => c07
161
      );
162
 
163
fa05 : fulladder
164
   port map (
165
      addend     => addend_14bit(05),
166
      augend     => augend_14bit(05),
167
      carry_in   => c05,
168
      sum        => adder14_output_int(05),
169
      carry      => c06
170
      );
171
 
172
fa04 : fulladder
173
   port map (
174
      addend     => addend_14bit(04),
175
      augend     => augend_14bit(04),
176
      carry_in   => c04,
177
      sum        => adder14_output_int(04),
178
      carry      => c05
179
      );
180
 
181
fa03 : fulladder
182
   port map (
183
      addend     => addend_14bit(03),
184
      augend     => augend_14bit(03),
185
      carry_in   => c03,
186
      sum        => adder14_output_int(03),
187
      carry      => c04
188
      );
189
 
190
fa02 : fulladder
191
   port map (
192
      addend     => addend_14bit(02),
193
      augend     => augend_14bit(02),
194
      carry_in   => c02,
195
      sum        => adder14_output_int(02),
196
      carry      => c03
197
      );
198
 
199
fa01 : fulladder
200
   port map (
201
      addend     => addend_14bit(01),
202
      augend     => augend_14bit(01),
203
      carry_in   => c01,
204
      sum        => adder14_output_int(01),
205
      carry      => c02
206
      );
207
 
208
fa00 : fulladder
209
   port map (
210
      addend     => addend_14bit(00),
211
      augend     => augend_14bit(00),
212
      carry_in   => c00,
213
      sum        => adder14_output_int(00),
214
      carry      => c01
215
      );
216
 
217
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.