OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_14bit.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_14bit is
34
   port (
35
      addend_14bit  : in  bit_vector (13 downto 0);
36
      augend_14bit  : in  bit_vector (13 downto 0);
37
      adder14_output: out bit_vector (14 downto 0) -- 15bit output
38
      );
39
end adder_14bit;
40
 
41
architecture structural of adder_14bit is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
-- internal signal
54
signal c00 : bit;
55
signal c01 : bit;
56
signal c02 : bit;
57
signal c03 : bit;
58
signal c04 : bit;
59
signal c05 : bit;
60
signal c06 : bit;
61
signal c07 : bit;
62
signal c08 : bit;
63
signal c09 : bit;
64
signal c10 : bit;
65
signal c11 : bit;
66
signal c12 : bit;
67
signal c13 : bit;
68
signal c14 : bit;
69
signal over14 : bit;
70 22 arif_endro
signal adder14_output_int : bit_vector (13 downto 0);
71
signal ov  : bit;
72 2 arif_endro
 
73
begin
74
 
75
c00                     <= '0';
76
over14                  <= (addend_14bit (13) xor augend_14bit (13));
77 22 arif_endro
ov                      <= ((adder14_output_int (13) and over14) or
78 2 arif_endro
                           (c14 and (not (over14))));
79 22 arif_endro
adder14_output(13 downto 00) <= adder14_output_int;
80
adder14_output(14)           <= ov;
81 2 arif_endro
 
82
fa13 : fulladder
83
   port map (
84
      addend     => addend_14bit(13),
85
      augend     => augend_14bit(13),
86
      carry_in   => c13,
87
      sum        => adder14_output_int(13),
88
      carry      => c14
89
      );
90
 
91
fa12 : fulladder
92
   port map (
93
      addend     => addend_14bit(12),
94
      augend     => augend_14bit(12),
95
      carry_in   => c12,
96
      sum        => adder14_output_int(12),
97
      carry      => c13
98
      );
99
 
100
fa11 : fulladder
101
   port map (
102
      addend     => addend_14bit(11),
103
      augend     => augend_14bit(11),
104
      carry_in   => c11,
105
      sum        => adder14_output_int(11),
106
      carry      => c12
107
      );
108
 
109
fa10 : fulladder
110
   port map (
111
      addend     => addend_14bit(10),
112
      augend     => augend_14bit(10),
113
      carry_in   => c10,
114
      sum        => adder14_output_int(10),
115
      carry      => c11
116
      );
117
 
118
fa09 : fulladder
119
   port map (
120
      addend     => addend_14bit(09),
121
      augend     => augend_14bit(09),
122
      carry_in   => c09,
123
      sum        => adder14_output_int(09),
124
      carry      => c10
125
      );
126
 
127
fa08 : fulladder
128
   port map (
129
      addend     => addend_14bit(08),
130
      augend     => augend_14bit(08),
131
      carry_in   => c08,
132
      sum        => adder14_output_int(08),
133
      carry      => c09
134
      );
135
 
136
fa07 : fulladder
137
   port map (
138
      addend     => addend_14bit(07),
139
      augend     => augend_14bit(07),
140
      carry_in   => c07,
141
      sum        => adder14_output_int(07),
142
      carry      => c08
143
      );
144
 
145
fa06 : fulladder
146
   port map (
147
      addend     => addend_14bit(06),
148
      augend     => augend_14bit(06),
149
      carry_in   => c06,
150
      sum        => adder14_output_int(06),
151
      carry      => c07
152
      );
153
 
154
fa05 : fulladder
155
   port map (
156
      addend     => addend_14bit(05),
157
      augend     => augend_14bit(05),
158
      carry_in   => c05,
159
      sum        => adder14_output_int(05),
160
      carry      => c06
161
      );
162
 
163
fa04 : fulladder
164
   port map (
165
      addend     => addend_14bit(04),
166
      augend     => augend_14bit(04),
167
      carry_in   => c04,
168
      sum        => adder14_output_int(04),
169
      carry      => c05
170
      );
171
 
172
fa03 : fulladder
173
   port map (
174
      addend     => addend_14bit(03),
175
      augend     => augend_14bit(03),
176
      carry_in   => c03,
177
      sum        => adder14_output_int(03),
178
      carry      => c04
179
      );
180
 
181
fa02 : fulladder
182
   port map (
183
      addend     => addend_14bit(02),
184
      augend     => augend_14bit(02),
185
      carry_in   => c02,
186
      sum        => adder14_output_int(02),
187
      carry      => c03
188
      );
189
 
190
fa01 : fulladder
191
   port map (
192
      addend     => addend_14bit(01),
193
      augend     => augend_14bit(01),
194
      carry_in   => c01,
195
      sum        => adder14_output_int(01),
196
      carry      => c02
197
      );
198
 
199
fa00 : fulladder
200
   port map (
201
      addend     => addend_14bit(00),
202
      augend     => augend_14bit(00),
203
      carry_in   => c00,
204
      sum        => adder14_output_int(00),
205
      carry      => c01
206
      );
207
 
208
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.