OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_15bit.vhdl] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 arif_endro
-- $Id: adder_15bit.vhdl,v 1.2 2005-02-21 06:54:36 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 15 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_15bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/02
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 15 bit with output 16 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
use IEEE.STD_LOGIC_arith.ALL;
45
 
46
entity adder_15bit is
47
   port (
48
      addend_15bit  : in  bit_vector (14 downto 0);
49
      augend_15bit  : in  bit_vector (14 downto 0);
50
      adder15_output: out bit_vector (15 downto 0) -- 16 bit output
51
      );
52
end adder_15bit;
53
 
54
architecture structural of adder_15bit is
55
 
56
   component fulladder
57
      port (
58
      addend        : in   bit;
59
      augend        : in   bit;
60
      carry_in      : in   bit;
61
      sum           : out  bit;
62
      carry         : out  bit
63
      );
64
   end component;
65
 
66
-- internal signal
67
signal c00 : bit;
68
signal c01 : bit;
69
signal c02 : bit;
70
signal c03 : bit;
71
signal c04 : bit;
72
signal c05 : bit;
73
signal c06 : bit;
74
signal c07 : bit;
75
signal c08 : bit;
76
signal c09 : bit;
77
signal c10 : bit;
78
signal c11 : bit;
79
signal c12 : bit;
80
signal c13 : bit;
81
signal c14 : bit;
82
signal c15 : bit;
83
signal over15 : bit;
84
signal adder15_output_int : bit_vector (15 downto 0);
85
 
86
begin
87
 
88
c00                     <= '0';
89
over15                  <= (addend_15bit (14) xor augend_15bit (14));
90
adder15_output_int (15) <= ((adder15_output_int (14) and over15) or
91
                           (c15 and (not (over15))));
92
adder15_output          <= adder15_output_int;
93
 
94
fa14 : fulladder
95
   port map (
96
      addend     => addend_15bit(14),
97
      augend     => augend_15bit(14),
98
      carry_in   => c14,
99
      sum        => adder15_output_int(14),
100
      carry      => c15
101
      );
102
 
103
fa13 : fulladder
104
   port map (
105
      addend     => addend_15bit(13),
106
      augend     => augend_15bit(13),
107
      carry_in   => c13,
108
      sum        => adder15_output_int(13),
109
      carry      => c14
110
      );
111
 
112
fa12 : fulladder
113
   port map (
114
      addend     => addend_15bit(12),
115
      augend     => augend_15bit(12),
116
      carry_in   => c12,
117
      sum        => adder15_output_int(12),
118
      carry      => c13
119
      );
120
 
121
fa11 : fulladder
122
   port map (
123
      addend     => addend_15bit(11),
124
      augend     => augend_15bit(11),
125
      carry_in   => c11,
126
      sum        => adder15_output_int(11),
127
      carry      => c12
128
      );
129
 
130
fa10 : fulladder
131
   port map (
132
      addend     => addend_15bit(10),
133
      augend     => augend_15bit(10),
134
      carry_in   => c10,
135
      sum        => adder15_output_int(10),
136
      carry      => c11
137
      );
138
 
139
fa09 : fulladder
140
   port map (
141
      addend     => addend_15bit(09),
142
      augend     => augend_15bit(09),
143
      carry_in   => c09,
144
      sum        => adder15_output_int(09),
145
      carry      => c10
146
      );
147
 
148
fa08 : fulladder
149
   port map (
150
      addend     => addend_15bit(08),
151
      augend     => augend_15bit(08),
152
      carry_in   => c08,
153
      sum        => adder15_output_int(08),
154
      carry      => c09
155
      );
156
 
157
fa07 : fulladder
158
   port map (
159
      addend     => addend_15bit(07),
160
      augend     => augend_15bit(07),
161
      carry_in   => c07,
162
      sum        => adder15_output_int(07),
163
      carry      => c08
164
      );
165
 
166
fa06 : fulladder
167
   port map (
168
      addend     => addend_15bit(06),
169
      augend     => augend_15bit(06),
170
      carry_in   => c06,
171
      sum        => adder15_output_int(06),
172
      carry      => c07
173
      );
174
 
175
fa05 : fulladder
176
   port map (
177
      addend     => addend_15bit(05),
178
      augend     => augend_15bit(05),
179
      carry_in   => c05,
180
      sum        => adder15_output_int(05),
181
      carry      => c06
182
      );
183
 
184
fa04 : fulladder
185
   port map (
186
      addend     => addend_15bit(04),
187
      augend     => augend_15bit(04),
188
      carry_in   => c04,
189
      sum        => adder15_output_int(04),
190
      carry      => c05
191
      );
192
 
193
fa03 : fulladder
194
   port map (
195
      addend     => addend_15bit(03),
196
      augend     => augend_15bit(03),
197
      carry_in   => c03,
198
      sum        => adder15_output_int(03),
199
      carry      => c04
200
      );
201
 
202
fa02 : fulladder
203
   port map (
204
      addend     => addend_15bit(02),
205
      augend     => augend_15bit(02),
206
      carry_in   => c02,
207
      sum        => adder15_output_int(02),
208
      carry      => c03
209
      );
210
 
211
fa01 : fulladder
212
   port map (
213
      addend     => addend_15bit(01),
214
      augend     => augend_15bit(01),
215
      carry_in   => c01,
216
      sum        => adder15_output_int(01),
217
      carry      => c02
218
      );
219
 
220
fa00 : fulladder
221
   port map (
222
      addend     => addend_15bit(00),
223
      augend     => augend_15bit(00),
224
      carry_in   => c00,
225
      sum        => adder15_output_int(00),
226
      carry      => c01
227
      );
228
 
229
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.