OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_15bit.vhdl] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_15bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 15 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_15bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/02
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 15 bit with output 16 bit
15
-------------------------------------------------------------------------------
16 13 arif_endro
-- Copyright (C) 2004 Arif E. Nugroho
17 2 arif_endro
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20 13 arif_endro
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41 2 arif_endro
 
42
library IEEE;
43
use IEEE.STD_LOGIC_1164.ALL;
44
 
45
entity adder_15bit is
46
   port (
47
      addend_15bit  : in  bit_vector (14 downto 0);
48
      augend_15bit  : in  bit_vector (14 downto 0);
49
      adder15_output: out bit_vector (15 downto 0) -- 16 bit output
50
      );
51
end adder_15bit;
52
 
53
architecture structural of adder_15bit is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
-- internal signal
66
signal c00 : bit;
67
signal c01 : bit;
68
signal c02 : bit;
69
signal c03 : bit;
70
signal c04 : bit;
71
signal c05 : bit;
72
signal c06 : bit;
73
signal c07 : bit;
74
signal c08 : bit;
75
signal c09 : bit;
76
signal c10 : bit;
77
signal c11 : bit;
78
signal c12 : bit;
79
signal c13 : bit;
80
signal c14 : bit;
81
signal c15 : bit;
82
signal over15 : bit;
83 22 arif_endro
signal adder15_output_int : bit_vector (14 downto 0);
84
signal ov  : bit;
85 2 arif_endro
 
86
begin
87
 
88
c00                     <= '0';
89
over15                  <= (addend_15bit (14) xor augend_15bit (14));
90 22 arif_endro
ov                      <= ((adder15_output_int (14) and over15) or
91 2 arif_endro
                           (c15 and (not (over15))));
92 22 arif_endro
adder15_output(14 downto 00) <= adder15_output_int;
93
adder15_output(15)           <= ov;
94 2 arif_endro
 
95
fa14 : fulladder
96
   port map (
97
      addend     => addend_15bit(14),
98
      augend     => augend_15bit(14),
99
      carry_in   => c14,
100
      sum        => adder15_output_int(14),
101
      carry      => c15
102
      );
103
 
104
fa13 : fulladder
105
   port map (
106
      addend     => addend_15bit(13),
107
      augend     => augend_15bit(13),
108
      carry_in   => c13,
109
      sum        => adder15_output_int(13),
110
      carry      => c14
111
      );
112
 
113
fa12 : fulladder
114
   port map (
115
      addend     => addend_15bit(12),
116
      augend     => augend_15bit(12),
117
      carry_in   => c12,
118
      sum        => adder15_output_int(12),
119
      carry      => c13
120
      );
121
 
122
fa11 : fulladder
123
   port map (
124
      addend     => addend_15bit(11),
125
      augend     => augend_15bit(11),
126
      carry_in   => c11,
127
      sum        => adder15_output_int(11),
128
      carry      => c12
129
      );
130
 
131
fa10 : fulladder
132
   port map (
133
      addend     => addend_15bit(10),
134
      augend     => augend_15bit(10),
135
      carry_in   => c10,
136
      sum        => adder15_output_int(10),
137
      carry      => c11
138
      );
139
 
140
fa09 : fulladder
141
   port map (
142
      addend     => addend_15bit(09),
143
      augend     => augend_15bit(09),
144
      carry_in   => c09,
145
      sum        => adder15_output_int(09),
146
      carry      => c10
147
      );
148
 
149
fa08 : fulladder
150
   port map (
151
      addend     => addend_15bit(08),
152
      augend     => augend_15bit(08),
153
      carry_in   => c08,
154
      sum        => adder15_output_int(08),
155
      carry      => c09
156
      );
157
 
158
fa07 : fulladder
159
   port map (
160
      addend     => addend_15bit(07),
161
      augend     => augend_15bit(07),
162
      carry_in   => c07,
163
      sum        => adder15_output_int(07),
164
      carry      => c08
165
      );
166
 
167
fa06 : fulladder
168
   port map (
169
      addend     => addend_15bit(06),
170
      augend     => augend_15bit(06),
171
      carry_in   => c06,
172
      sum        => adder15_output_int(06),
173
      carry      => c07
174
      );
175
 
176
fa05 : fulladder
177
   port map (
178
      addend     => addend_15bit(05),
179
      augend     => augend_15bit(05),
180
      carry_in   => c05,
181
      sum        => adder15_output_int(05),
182
      carry      => c06
183
      );
184
 
185
fa04 : fulladder
186
   port map (
187
      addend     => addend_15bit(04),
188
      augend     => augend_15bit(04),
189
      carry_in   => c04,
190
      sum        => adder15_output_int(04),
191
      carry      => c05
192
      );
193
 
194
fa03 : fulladder
195
   port map (
196
      addend     => addend_15bit(03),
197
      augend     => augend_15bit(03),
198
      carry_in   => c03,
199
      sum        => adder15_output_int(03),
200
      carry      => c04
201
      );
202
 
203
fa02 : fulladder
204
   port map (
205
      addend     => addend_15bit(02),
206
      augend     => augend_15bit(02),
207
      carry_in   => c02,
208
      sum        => adder15_output_int(02),
209
      carry      => c03
210
      );
211
 
212
fa01 : fulladder
213
   port map (
214
      addend     => addend_15bit(01),
215
      augend     => augend_15bit(01),
216
      carry_in   => c01,
217
      sum        => adder15_output_int(01),
218
      carry      => c02
219
      );
220
 
221
fa00 : fulladder
222
   port map (
223
      addend     => addend_15bit(00),
224
      augend     => augend_15bit(00),
225
      carry_in   => c00,
226
      sum        => adder15_output_int(00),
227
      carry      => c01
228
      );
229
 
230
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.