OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_16bit.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_16bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 16 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_16bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 16 bit with output 17 bit
15
-------------------------------------------------------------------------------
16 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
 
42
entity adder_16bit is
43
   port (
44
      addend_16bit  : in  bit_vector (15 downto 0);
45
      augend_16bit  : in  bit_vector (15 downto 0);
46
      adder16_output: out bit_vector (16 downto 0) -- 17 bit output
47
      );
48
end adder_16bit;
49
 
50
architecture structural of adder_16bit is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
-- internal signal
63
signal c00 : bit;
64
signal c01 : bit;
65
signal c02 : bit;
66
signal c03 : bit;
67
signal c04 : bit;
68
signal c05 : bit;
69
signal c06 : bit;
70
signal c07 : bit;
71
signal c08 : bit;
72
signal c09 : bit;
73
signal c10 : bit;
74
signal c11 : bit;
75
signal c12 : bit;
76
signal c13 : bit;
77
signal c14 : bit;
78
signal c15 : bit;
79
signal c16 : bit;
80
signal over16 : bit;
81 22 arif_endro
signal adder16_output_int : bit_vector (15 downto 0);
82
signal ov  : bit;
83 2 arif_endro
 
84
begin
85
 
86
c00                     <= '0';
87
over16                  <= (addend_16bit (15) xor augend_16bit (15));
88 22 arif_endro
ov                      <= ((adder16_output_int (15) and over16) or
89 2 arif_endro
                           (c16 and (not (over16))));
90 22 arif_endro
adder16_output(15 downto 00) <= adder16_output_int;
91
adder16_output(16)           <= ov;
92 2 arif_endro
 
93
fa15 : fulladder
94
   port map (
95
      addend     => addend_16bit(15),
96
      augend     => augend_16bit(15),
97
      carry_in   => c15,
98
      sum        => adder16_output_int(15),
99
      carry      => c16
100
      );
101
 
102
fa14 : fulladder
103
   port map (
104
      addend     => addend_16bit(14),
105
      augend     => augend_16bit(14),
106
      carry_in   => c14,
107
      sum        => adder16_output_int(14),
108
      carry      => c15
109
      );
110
 
111
fa13 : fulladder
112
   port map (
113
      addend     => addend_16bit(13),
114
      augend     => augend_16bit(13),
115
      carry_in   => c13,
116
      sum        => adder16_output_int(13),
117
      carry      => c14
118
      );
119
 
120
fa12 : fulladder
121
   port map (
122
      addend     => addend_16bit(12),
123
      augend     => augend_16bit(12),
124
      carry_in   => c12,
125
      sum        => adder16_output_int(12),
126
      carry      => c13
127
      );
128
 
129
fa11 : fulladder
130
   port map (
131
      addend     => addend_16bit(11),
132
      augend     => augend_16bit(11),
133
      carry_in   => c11,
134
      sum        => adder16_output_int(11),
135
      carry      => c12
136
      );
137
 
138
fa10 : fulladder
139
   port map (
140
      addend     => addend_16bit(10),
141
      augend     => augend_16bit(10),
142
      carry_in   => c10,
143
      sum        => adder16_output_int(10),
144
      carry      => c11
145
      );
146
 
147
fa09 : fulladder
148
   port map (
149
      addend     => addend_16bit(09),
150
      augend     => augend_16bit(09),
151
      carry_in   => c09,
152
      sum        => adder16_output_int(09),
153
      carry      => c10
154
      );
155
 
156
fa08 : fulladder
157
   port map (
158
      addend     => addend_16bit(08),
159
      augend     => augend_16bit(08),
160
      carry_in   => c08,
161
      sum        => adder16_output_int(08),
162
      carry      => c09
163
      );
164
 
165
fa07 : fulladder
166
   port map (
167
      addend     => addend_16bit(07),
168
      augend     => augend_16bit(07),
169
      carry_in   => c07,
170
      sum        => adder16_output_int(07),
171
      carry      => c08
172
      );
173
 
174
fa06 : fulladder
175
   port map (
176
      addend     => addend_16bit(06),
177
      augend     => augend_16bit(06),
178
      carry_in   => c06,
179
      sum        => adder16_output_int(06),
180
      carry      => c07
181
      );
182
 
183
fa05 : fulladder
184
   port map (
185
      addend     => addend_16bit(05),
186
      augend     => augend_16bit(05),
187
      carry_in   => c05,
188
      sum        => adder16_output_int(05),
189
      carry      => c06
190
      );
191
 
192
fa04 : fulladder
193
   port map (
194
      addend     => addend_16bit(04),
195
      augend     => augend_16bit(04),
196
      carry_in   => c04,
197
      sum        => adder16_output_int(04),
198
      carry      => c05
199
      );
200
 
201
fa03 : fulladder
202
   port map (
203
      addend     => addend_16bit(03),
204
      augend     => augend_16bit(03),
205
      carry_in   => c03,
206
      sum        => adder16_output_int(03),
207
      carry      => c04
208
      );
209
 
210
fa02 : fulladder
211
   port map (
212
      addend     => addend_16bit(02),
213
      augend     => augend_16bit(02),
214
      carry_in   => c02,
215
      sum        => adder16_output_int(02),
216
      carry      => c03
217
      );
218
 
219
fa01 : fulladder
220
   port map (
221
      addend     => addend_16bit(01),
222
      augend     => augend_16bit(01),
223
      carry_in   => c01,
224
      sum        => adder16_output_int(01),
225
      carry      => c02
226
      );
227
 
228
fa00 : fulladder
229
   port map (
230
      addend     => addend_16bit(00),
231
      augend     => augend_16bit(00),
232
      carry_in   => c00,
233
      sum        => adder16_output_int(00),
234
      carry      => c01
235
      );
236
 
237
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.