OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_16bit.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_16bit is
34
   port (
35
      addend_16bit  : in  bit_vector (15 downto 0);
36
      augend_16bit  : in  bit_vector (15 downto 0);
37
      adder16_output: out bit_vector (16 downto 0) -- 17 bit output
38
      );
39
end adder_16bit;
40
 
41
architecture structural of adder_16bit is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
-- internal signal
54
signal c00 : bit;
55
signal c01 : bit;
56
signal c02 : bit;
57
signal c03 : bit;
58
signal c04 : bit;
59
signal c05 : bit;
60
signal c06 : bit;
61
signal c07 : bit;
62
signal c08 : bit;
63
signal c09 : bit;
64
signal c10 : bit;
65
signal c11 : bit;
66
signal c12 : bit;
67
signal c13 : bit;
68
signal c14 : bit;
69
signal c15 : bit;
70
signal c16 : bit;
71
signal over16 : bit;
72 22 arif_endro
signal adder16_output_int : bit_vector (15 downto 0);
73
signal ov  : bit;
74 2 arif_endro
 
75
begin
76
 
77
c00                     <= '0';
78
over16                  <= (addend_16bit (15) xor augend_16bit (15));
79 22 arif_endro
ov                      <= ((adder16_output_int (15) and over16) or
80 2 arif_endro
                           (c16 and (not (over16))));
81 22 arif_endro
adder16_output(15 downto 00) <= adder16_output_int;
82
adder16_output(16)           <= ov;
83 2 arif_endro
 
84
fa15 : fulladder
85
   port map (
86
      addend     => addend_16bit(15),
87
      augend     => augend_16bit(15),
88
      carry_in   => c15,
89
      sum        => adder16_output_int(15),
90
      carry      => c16
91
      );
92
 
93
fa14 : fulladder
94
   port map (
95
      addend     => addend_16bit(14),
96
      augend     => augend_16bit(14),
97
      carry_in   => c14,
98
      sum        => adder16_output_int(14),
99
      carry      => c15
100
      );
101
 
102
fa13 : fulladder
103
   port map (
104
      addend     => addend_16bit(13),
105
      augend     => augend_16bit(13),
106
      carry_in   => c13,
107
      sum        => adder16_output_int(13),
108
      carry      => c14
109
      );
110
 
111
fa12 : fulladder
112
   port map (
113
      addend     => addend_16bit(12),
114
      augend     => augend_16bit(12),
115
      carry_in   => c12,
116
      sum        => adder16_output_int(12),
117
      carry      => c13
118
      );
119
 
120
fa11 : fulladder
121
   port map (
122
      addend     => addend_16bit(11),
123
      augend     => augend_16bit(11),
124
      carry_in   => c11,
125
      sum        => adder16_output_int(11),
126
      carry      => c12
127
      );
128
 
129
fa10 : fulladder
130
   port map (
131
      addend     => addend_16bit(10),
132
      augend     => augend_16bit(10),
133
      carry_in   => c10,
134
      sum        => adder16_output_int(10),
135
      carry      => c11
136
      );
137
 
138
fa09 : fulladder
139
   port map (
140
      addend     => addend_16bit(09),
141
      augend     => augend_16bit(09),
142
      carry_in   => c09,
143
      sum        => adder16_output_int(09),
144
      carry      => c10
145
      );
146
 
147
fa08 : fulladder
148
   port map (
149
      addend     => addend_16bit(08),
150
      augend     => augend_16bit(08),
151
      carry_in   => c08,
152
      sum        => adder16_output_int(08),
153
      carry      => c09
154
      );
155
 
156
fa07 : fulladder
157
   port map (
158
      addend     => addend_16bit(07),
159
      augend     => augend_16bit(07),
160
      carry_in   => c07,
161
      sum        => adder16_output_int(07),
162
      carry      => c08
163
      );
164
 
165
fa06 : fulladder
166
   port map (
167
      addend     => addend_16bit(06),
168
      augend     => augend_16bit(06),
169
      carry_in   => c06,
170
      sum        => adder16_output_int(06),
171
      carry      => c07
172
      );
173
 
174
fa05 : fulladder
175
   port map (
176
      addend     => addend_16bit(05),
177
      augend     => augend_16bit(05),
178
      carry_in   => c05,
179
      sum        => adder16_output_int(05),
180
      carry      => c06
181
      );
182
 
183
fa04 : fulladder
184
   port map (
185
      addend     => addend_16bit(04),
186
      augend     => augend_16bit(04),
187
      carry_in   => c04,
188
      sum        => adder16_output_int(04),
189
      carry      => c05
190
      );
191
 
192
fa03 : fulladder
193
   port map (
194
      addend     => addend_16bit(03),
195
      augend     => augend_16bit(03),
196
      carry_in   => c03,
197
      sum        => adder16_output_int(03),
198
      carry      => c04
199
      );
200
 
201
fa02 : fulladder
202
   port map (
203
      addend     => addend_16bit(02),
204
      augend     => augend_16bit(02),
205
      carry_in   => c02,
206
      sum        => adder16_output_int(02),
207
      carry      => c03
208
      );
209
 
210
fa01 : fulladder
211
   port map (
212
      addend     => addend_16bit(01),
213
      augend     => augend_16bit(01),
214
      carry_in   => c01,
215
      sum        => adder16_output_int(01),
216
      carry      => c02
217
      );
218
 
219
fa00 : fulladder
220
   port map (
221
      addend     => addend_16bit(00),
222
      augend     => augend_16bit(00),
223
      carry_in   => c00,
224
      sum        => adder16_output_int(00),
225
      carry      => c01
226
      );
227
 
228
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.