OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_16bit_u.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arif_endro
-- $Id: adder_16bit_u.vhdl,v 1.3 2005-03-04 08:06:17 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 16 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_16bit_u.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 16 bit with output 16 bit
15
-------------------------------------------------------------------------------
16 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
 
42
entity adder_16bit_u is
43
   port (
44
      addend_16bit  : in  bit_vector (15 downto 0);
45
      augend_16bit  : in  bit_vector (15 downto 0);
46
      adder16_output: out bit_vector (15 downto 0)
47
      );
48
end adder_16bit_u;
49
 
50
architecture structural of adder_16bit_u is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
-- internal signal
63
signal c00 : bit;
64
signal c01 : bit;
65
signal c02 : bit;
66
signal c03 : bit;
67
signal c04 : bit;
68
signal c05 : bit;
69
signal c06 : bit;
70
signal c07 : bit;
71
signal c08 : bit;
72
signal c09 : bit;
73
signal c10 : bit;
74
signal c11 : bit;
75
signal c12 : bit;
76
signal c13 : bit;
77
signal c14 : bit;
78
signal c15 : bit;
79
signal c16 : bit;
80
 
81
begin
82
 
83
c00 <= '0';
84
 
85
fa15 : fulladder
86
   port map (
87
      addend     => addend_16bit(15),
88
      augend     => augend_16bit(15),
89
      carry_in   => c15,
90
      sum        => adder16_output(15),
91
      carry      => c16
92
      );
93
 
94
fa14 : fulladder
95
   port map (
96
      addend     => addend_16bit(14),
97
      augend     => augend_16bit(14),
98
      carry_in   => c14,
99
      sum        => adder16_output(14),
100
      carry      => c15
101
      );
102
 
103
fa13 : fulladder
104
   port map (
105
      addend     => addend_16bit(13),
106
      augend     => augend_16bit(13),
107
      carry_in   => c13,
108
      sum        => adder16_output(13),
109
      carry      => c14
110
      );
111
 
112
fa12 : fulladder
113
   port map (
114
      addend     => addend_16bit(12),
115
      augend     => augend_16bit(12),
116
      carry_in   => c12,
117
      sum        => adder16_output(12),
118
      carry      => c13
119
      );
120
 
121
fa11 : fulladder
122
   port map (
123
      addend     => addend_16bit(11),
124
      augend     => augend_16bit(11),
125
      carry_in   => c11,
126
      sum        => adder16_output(11),
127
      carry      => c12
128
      );
129
 
130
fa10 : fulladder
131
   port map (
132
      addend     => addend_16bit(10),
133
      augend     => augend_16bit(10),
134
      carry_in   => c10,
135
      sum        => adder16_output(10),
136
      carry      => c11
137
      );
138
 
139
fa09 : fulladder
140
   port map (
141
      addend     => addend_16bit(09),
142
      augend     => augend_16bit(09),
143
      carry_in   => c09,
144
      sum        => adder16_output(09),
145
      carry      => c10
146
      );
147
 
148
fa08 : fulladder
149
   port map (
150
      addend     => addend_16bit(08),
151
      augend     => augend_16bit(08),
152
      carry_in   => c08,
153
      sum        => adder16_output(08),
154
      carry      => c09
155
      );
156
 
157
fa07 : fulladder
158
   port map (
159
      addend     => addend_16bit(07),
160
      augend     => augend_16bit(07),
161
      carry_in   => c07,
162
      sum        => adder16_output(07),
163
      carry      => c08
164
      );
165
 
166
fa06 : fulladder
167
   port map (
168
      addend     => addend_16bit(06),
169
      augend     => augend_16bit(06),
170
      carry_in   => c06,
171
      sum        => adder16_output(06),
172
      carry      => c07
173
      );
174
 
175
fa05 : fulladder
176
   port map (
177
      addend     => addend_16bit(05),
178
      augend     => augend_16bit(05),
179
      carry_in   => c05,
180
      sum        => adder16_output(05),
181
      carry      => c06
182
      );
183
 
184
fa04 : fulladder
185
   port map (
186
      addend     => addend_16bit(04),
187
      augend     => augend_16bit(04),
188
      carry_in   => c04,
189
      sum        => adder16_output(04),
190
      carry      => c05
191
      );
192
 
193
fa03 : fulladder
194
   port map (
195
      addend     => addend_16bit(03),
196
      augend     => augend_16bit(03),
197
      carry_in   => c03,
198
      sum        => adder16_output(03),
199
      carry      => c04
200
      );
201
 
202
fa02 : fulladder
203
   port map (
204
      addend     => addend_16bit(02),
205
      augend     => augend_16bit(02),
206
      carry_in   => c02,
207
      sum        => adder16_output(02),
208
      carry      => c03
209
      );
210
 
211
fa01 : fulladder
212
   port map (
213
      addend     => addend_16bit(01),
214
      augend     => augend_16bit(01),
215
      carry_in   => c01,
216
      sum        => adder16_output(01),
217
      carry      => c02
218
      );
219
 
220
fa00 : fulladder
221
   port map (
222
      addend     => addend_16bit(00),
223
      augend     => augend_16bit(00),
224
      carry_in   => c00,
225
      sum        => adder16_output(00),
226
      carry      => c01
227
      );
228
 
229
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.