OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_16bit_u.vhdl] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 arif_endro
-- ------------------------------------------------------------------------
2 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
3 46 arif_endro
-- All rights reserved.
4 13 arif_endro
-- 
5 46 arif_endro
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8 13 arif_endro
-- 
9 46 arif_endro
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14 13 arif_endro
-- 
15 46 arif_endro
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26 13 arif_endro
-- 
27 46 arif_endro
-- End Of License.
28
-- ------------------------------------------------------------------------
29 2 arif_endro
 
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
 
33
entity adder_16bit_u is
34
   port (
35
      addend_16bit  : in  bit_vector (15 downto 0);
36
      augend_16bit  : in  bit_vector (15 downto 0);
37
      adder16_output: out bit_vector (15 downto 0)
38
      );
39
end adder_16bit_u;
40
 
41
architecture structural of adder_16bit_u is
42
 
43
   component fulladder
44
      port (
45
      addend        : in   bit;
46
      augend        : in   bit;
47
      carry_in      : in   bit;
48
      sum           : out  bit;
49
      carry         : out  bit
50
      );
51
   end component;
52
 
53
-- internal signal
54
signal c00 : bit;
55
signal c01 : bit;
56
signal c02 : bit;
57
signal c03 : bit;
58
signal c04 : bit;
59
signal c05 : bit;
60
signal c06 : bit;
61
signal c07 : bit;
62
signal c08 : bit;
63
signal c09 : bit;
64
signal c10 : bit;
65
signal c11 : bit;
66
signal c12 : bit;
67
signal c13 : bit;
68
signal c14 : bit;
69
signal c15 : bit;
70
signal c16 : bit;
71
 
72
begin
73
 
74
c00 <= '0';
75
 
76
fa15 : fulladder
77
   port map (
78
      addend     => addend_16bit(15),
79
      augend     => augend_16bit(15),
80
      carry_in   => c15,
81
      sum        => adder16_output(15),
82
      carry      => c16
83
      );
84
 
85
fa14 : fulladder
86
   port map (
87
      addend     => addend_16bit(14),
88
      augend     => augend_16bit(14),
89
      carry_in   => c14,
90
      sum        => adder16_output(14),
91
      carry      => c15
92
      );
93
 
94
fa13 : fulladder
95
   port map (
96
      addend     => addend_16bit(13),
97
      augend     => augend_16bit(13),
98
      carry_in   => c13,
99
      sum        => adder16_output(13),
100
      carry      => c14
101
      );
102
 
103
fa12 : fulladder
104
   port map (
105
      addend     => addend_16bit(12),
106
      augend     => augend_16bit(12),
107
      carry_in   => c12,
108
      sum        => adder16_output(12),
109
      carry      => c13
110
      );
111
 
112
fa11 : fulladder
113
   port map (
114
      addend     => addend_16bit(11),
115
      augend     => augend_16bit(11),
116
      carry_in   => c11,
117
      sum        => adder16_output(11),
118
      carry      => c12
119
      );
120
 
121
fa10 : fulladder
122
   port map (
123
      addend     => addend_16bit(10),
124
      augend     => augend_16bit(10),
125
      carry_in   => c10,
126
      sum        => adder16_output(10),
127
      carry      => c11
128
      );
129
 
130
fa09 : fulladder
131
   port map (
132
      addend     => addend_16bit(09),
133
      augend     => augend_16bit(09),
134
      carry_in   => c09,
135
      sum        => adder16_output(09),
136
      carry      => c10
137
      );
138
 
139
fa08 : fulladder
140
   port map (
141
      addend     => addend_16bit(08),
142
      augend     => augend_16bit(08),
143
      carry_in   => c08,
144
      sum        => adder16_output(08),
145
      carry      => c09
146
      );
147
 
148
fa07 : fulladder
149
   port map (
150
      addend     => addend_16bit(07),
151
      augend     => augend_16bit(07),
152
      carry_in   => c07,
153
      sum        => adder16_output(07),
154
      carry      => c08
155
      );
156
 
157
fa06 : fulladder
158
   port map (
159
      addend     => addend_16bit(06),
160
      augend     => augend_16bit(06),
161
      carry_in   => c06,
162
      sum        => adder16_output(06),
163
      carry      => c07
164
      );
165
 
166
fa05 : fulladder
167
   port map (
168
      addend     => addend_16bit(05),
169
      augend     => augend_16bit(05),
170
      carry_in   => c05,
171
      sum        => adder16_output(05),
172
      carry      => c06
173
      );
174
 
175
fa04 : fulladder
176
   port map (
177
      addend     => addend_16bit(04),
178
      augend     => augend_16bit(04),
179
      carry_in   => c04,
180
      sum        => adder16_output(04),
181
      carry      => c05
182
      );
183
 
184
fa03 : fulladder
185
   port map (
186
      addend     => addend_16bit(03),
187
      augend     => augend_16bit(03),
188
      carry_in   => c03,
189
      sum        => adder16_output(03),
190
      carry      => c04
191
      );
192
 
193
fa02 : fulladder
194
   port map (
195
      addend     => addend_16bit(02),
196
      augend     => augend_16bit(02),
197
      carry_in   => c02,
198
      sum        => adder16_output(02),
199
      carry      => c03
200
      );
201
 
202
fa01 : fulladder
203
   port map (
204
      addend     => addend_16bit(01),
205
      augend     => augend_16bit(01),
206
      carry_in   => c01,
207
      sum        => adder16_output(01),
208
      carry      => c02
209
      );
210
 
211
fa00 : fulladder
212
   port map (
213
      addend     => addend_16bit(00),
214
      augend     => augend_16bit(00),
215
      carry_in   => c00,
216
      sum        => adder16_output(00),
217
      carry      => c01
218
      );
219
 
220
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.