OpenCores
URL https://opencores.org/ocsvn/simple_spi/simple_spi/trunk

Subversion Repositories simple_spi

[/] [simple_spi/] [trunk/] [sim/] [rtl_sim/] [run/] [Makefile] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 rherveille
##########################################################################
2
#                                                                        #
3
# Simple SPI controller testsuite                                        #
4
# (C) 2004 Richard Herveille   richard@asics.ws                          #
5
#                                                                        #
6
# v.0                                                                    #
7
#                                                                        #
8
##########################################################################
9
 
10
all: sim
11
SHELL = /bin/sh
12
MS="-s"
13
 
14
##########################################################################
15
#
16
# DUT Sources
17
#
18
##########################################################################
19
DUT_SRC_DIR=../../../rtl/verilog
20
_TARGETS_=      $(DUT_SRC_DIR)/fifo4.v                  \
21
                $(DUT_SRC_DIR)/simple_spi_top.v
22
 
23
##########################################################################
24
#
25
# Test Bench Sources
26
#
27
##########################################################################
28
_TOP_=tst_bench_top
29
TB_SRC_DIR=../../../bench/verilog
30
_TB_=           $(TB_SRC_DIR)/tst_bench_top.v           \
31
                $(TB_SRC_DIR)/spi_slave_model.v         \
32
                $(TB_SRC_DIR)/wb_master_model.v
33
 
34
##########################################################################
35
#
36
# Misc Variables
37
#
38
##########################################################################
39
 
40
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/"
41
LOGF=-LOGFILE .nclog
42
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
43
 
44
##########################################################################
45
#
46
# Make Targets
47
#
48
##########################################################################
49
simw:
50
        @$(MAKE) -s sim ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
51
 
52
ss:
53
        signalscan -do waves/waves.do -waves waves/waves.trn &
54
 
55
simxl:
56
        verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR)    \
57
        $(_TARGETS_) $(_TB_)
58
 
59
sim:
60
        @echo ""
61
        @echo "----- Running NCVLOG ... ----------"
62
        @$(MAKE) $(MS) vlog                             \
63
                TARGETS="$(_TARGETS_)"                  \
64
                TB="$(_TB_)"                            \
65
                INCDIR=$(INCDIR)                        \
66
                WAVES="$(WAVES)"
67
        @echo ""
68
        @echo "----- Running NCELAB ... ----------"
69
        @$(MAKE) $(MS) elab                             \
70
                ACCESS="$(ACCESS)" TOP=$(_TOP_)
71
        @echo ""
72
        @echo "----- Running NCSIM ... ----------"
73
        @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
74
        @echo ""
75
 
76
hal:
77
        @echo ""
78
        @echo "----- Running HAL ... ----------"
79
        @hal    +incdir+$(DUT_SRC_DIR)/ocidec-1/                \
80
                -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK  \
81
                $(_TARGETS_)
82
        @echo "----- DONE ... ----------"
83
 
84
clean:
85
        rm -rf  ./waves/*.dsn ./waves/*.trn             \
86
                ncwork/.inc* ncwork/inc*                \
87
                ./verilog.* .nclog hal.log
88
 
89
##########################################################################
90
#
91
# NCVLOG
92
#
93
##########################################################################
94
 
95
vhdl:
96
        ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG                  \
97
                -WORK work -V93 $(TARGETS)
98
 
99
vlog:
100
        ncvlog $(NCCOMMON) $(LOGF)                              \
101
                -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
102
 
103
##########################################################################
104
#
105
# NCELAB
106
#
107
##########################################################################
108
 
109
elab:
110
        ncelab  $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
111
                -WORK work $(ACCESS) -NOTIMINGCHECKS            \
112
                work.$(TOP)
113
 
114
##########################################################################
115
#
116
# NCSIM
117
#
118
##########################################################################
119
 
120
ncsim:
121
        ncsim   $(NCCOMMON) $(LOGF) -APPEND_LOG                 \
122
                -EXIT -ERRORMAX 10 work.$(TOP)
123
 
124
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.