OpenCores
URL https://opencores.org/ocsvn/simple_spi/simple_spi/trunk

Subversion Repositories simple_spi

[/] [simple_spi/] [trunk/] [sim/] [rtl_sim/] [run/] [simvision.sv] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 rherveille
# SimVision Command Script (Sat Feb 28 15:57:27 CET 2004)
2
 
3
#
4
# Databases
5
#
6
if {[database find -match exact -name "waves"] == {}} {
7
    database open /projects/simple_spi/sim/rtl_sim/run/waves/waves.trn -name "waves"
8
}
9
 
10
#
11
# Cursors
12
#
13
set time 86000ps
14
if {[cursor find -match exact -name "TimeC"] == {}} {
15
    cursor new -name  "TimeC" -time $time
16
} else {
17
    cursor set -using "TimeC" -time $time
18
}
19
set time 0
20
if {[cursor find -match exact -name "TimeH"] == {}} {
21
    cursor new -name  "TimeH" -time $time
22
} else {
23
    cursor set -using "TimeH" -time $time
24
}
25
set time 0
26
if {[cursor find -match exact -name "TimeB"] == {}} {
27
    cursor new -name  "TimeB" -time $time
28
} else {
29
    cursor set -using "TimeB" -time $time
30
}
31
set time 0
32
if {[cursor find -match exact -name "TimeD"] == {}} {
33
    cursor new -name  "TimeD" -time $time
34
} else {
35
    cursor set -using "TimeD" -time $time
36
}
37
set time 14.16ns
38
if {[cursor find -match exact -name "TimeA"] == {}} {
39
    cursor new -name  "TimeA" -time $time
40
} else {
41
    cursor set -using "TimeA" -time $time
42
}
43
set time 0
44
if {[cursor find -match exact -name "TimeE"] == {}} {
45
    cursor new -name  "TimeE" -time $time
46
} else {
47
    cursor set -using "TimeE" -time $time
48
}
49
 
50
#
51
# Groups
52
#
53
 
54
if {[group find -match exact -name "Wishbone"] == {}} {
55
    group new -name "Wishbone" -overlay 0
56
} else {
57
    group using "Wishbone"
58
    group set -overlay 0
59
    group clear 0 end
60
}
61
group insert \
62
    {waves::tst_bench_top.spi_top.clk_i} \
63
    {waves::tst_bench_top.spi_top.rst_i} \
64
    {waves::tst_bench_top.spi_top.cyc_i} \
65
    {waves::tst_bench_top.spi_top.stb_i} \
66
    {waves::tst_bench_top.spi_top.adr_i[1:0]} \
67
    {waves::tst_bench_top.spi_top.we_i} \
68
    {waves::tst_bench_top.spi_top.dat_i[7:0]} \
69
    {waves::tst_bench_top.spi_top.dat_o[7:0]} \
70
    {waves::tst_bench_top.spi_top.ack_o} \
71
    {waves::tst_bench_top.spi_top.inta_o}
72
 
73
if {[group find -match exact -name "spi"] == {}} {
74
    group new -name "spi" -overlay 0
75
} else {
76
    group using "spi"
77
    group set -overlay 0
78
    group clear 0 end
79
}
80
group insert \
81
    {waves::tst_bench_top.spi_slave.csn} \
82
    {waves::tst_bench_top.spi_slave.sck} \
83
    {waves::tst_bench_top.spi_slave.di} \
84
    {waves::tst_bench_top.spi_slave.do}
85
 
86
#
87
# Design Browser Windows
88
#
89
if {[window find -match exact -name "Design Browser 1"] == {}} {
90
    window new DesignBrowser -name "Design Browser 1" -geometry 700x500+6+20
91
} else {
92
    window geometry "Design Browser 1" 700x500+6+20
93
}
94
window target "Design Browser 1" on
95
browser using "Design Browser 1"
96
browser set \
97
    -scope {waves::tst_bench_top.spi_slave}
98
 
99
#
100
# Waveform Windows
101
#
102
if {[window find -match exact -name "Waveform 5"] == {}} {
103
    window new WaveWindow -name "Waveform 5" -geometry 1010x600+148+327
104
} else {
105
    window geometry "Waveform 5" 1010x600+148+327
106
}
107
window target "Waveform 5" on
108
waveform using "Waveform 5"
109
waveform set \
110
    -primarycursor "TimeE" \
111
    -signalnames name \
112
    -signalwidth 175 \
113
    -units ns \
114
    -valuewidth 75
115
cursor set -using "TimeE" -time 0
116
waveform baseline set -time 0
117
 
118
set groupId [waveform add -groups {"Wishbone"}]
119
set startIndex [lsearch -exact [waveform find] $groupId]
120
set id [lindex [waveform find] [expr {$startIndex + 1}]]
121
waveform format $id -radix %b
122
waveform format $id -trace "digital" -color "" -symbol ""
123
set id [lindex [waveform find] [expr {$startIndex + 2}]]
124
waveform format $id -radix %b
125
waveform format $id -trace "digital" -color "" -symbol ""
126
set id [lindex [waveform find] [expr {$startIndex + 3}]]
127
waveform format $id -radix %b
128
waveform format $id -trace "digital" -color "" -symbol ""
129
set id [lindex [waveform find] [expr {$startIndex + 4}]]
130
waveform format $id -radix %b
131
waveform format $id -trace "digital" -color "" -symbol ""
132
set id [lindex [waveform find] [expr {$startIndex + 5}]]
133
waveform format $id -trace "digital" -color "" -symbol ""
134
set id [lindex [waveform find] [expr {$startIndex + 6}]]
135
waveform format $id -radix %b
136
waveform format $id -trace "digital" -color "" -symbol ""
137
set id [lindex [waveform find] [expr {$startIndex + 7}]]
138
waveform format $id -trace "digital" -color "" -symbol ""
139
set id [lindex [waveform find] [expr {$startIndex + 8}]]
140
waveform format $id -trace "digital" -color "" -symbol ""
141
set id [lindex [waveform find] [expr {$startIndex + 9}]]
142
waveform format $id -radix %b
143
waveform format $id -trace "digital" -color "" -symbol ""
144
set id [lindex [waveform find] [expr {$startIndex + 10}]]
145
waveform format $id -radix %b
146
waveform format $id -trace "digital" -color "" -symbol ""
147
waveform hierarchy collapse $groupId
148
 
149
set groupId [waveform add -groups {"spi"}]
150
set startIndex [lsearch -exact [waveform find] $groupId]
151
set id [lindex [waveform find] [expr {$startIndex + 1}]]
152
waveform format $id -radix %b
153
waveform format $id -trace "digital" -color "" -symbol ""
154
set id [lindex [waveform find] [expr {$startIndex + 2}]]
155
waveform format $id -radix %b
156
waveform format $id -trace "digital" -color "" -symbol ""
157
set id [lindex [waveform find] [expr {$startIndex + 3}]]
158
waveform format $id -radix %b
159
waveform format $id -trace "digital" -color "" -symbol ""
160
set id [lindex [waveform find] [expr {$startIndex + 4}]]
161
waveform format $id -radix %b
162
waveform format $id -trace "digital" -color "" -symbol ""
163
waveform hierarchy collapse $groupId
164
 
165
 
166
waveform xview limits 0 2000ns
167
 
168
#
169
# Preferences
170
#
171
preferences set ams-show-flow {1}
172
preferences set ams-show-potential {1}
173
preferences set analog-height {5}
174
preferences set color-verilog-by-value {1}
175
preferences set create-cursor-for-new-window {0}
176
preferences set cv-num-lines {25}
177
preferences set cv-show-only {1}
178
preferences set db-scope-gen-compnames {0}
179
preferences set db-scope-gen-icons {1}
180
preferences set db-scope-gen-sort {name}
181
preferences set db-scope-gen-tracksb {0}
182
preferences set db-scope-systemc-processes {1}
183
preferences set db-scope-verilog-cells {1}
184
preferences set db-scope-verilog-functions {1}
185
preferences set db-scope-verilog-namedbegins {1}
186
preferences set db-scope-verilog-namedforks {1}
187
preferences set db-scope-verilog-tasks {1}
188
preferences set db-scope-vhdl-assertions {1}
189
preferences set db-scope-vhdl-assignments {1}
190
preferences set db-scope-vhdl-blocks {1}
191
preferences set db-scope-vhdl-breakstatements {1}
192
preferences set db-scope-vhdl-calls {1}
193
preferences set db-scope-vhdl-generates {1}
194
preferences set db-scope-vhdl-processstatements {1}
195
preferences set db-show-editbuf {0}
196
preferences set db-show-modnames {0}
197
preferences set db-show-values {simulator}
198
preferences set db-signal-filter-constants {1}
199
preferences set db-signal-filter-generics {1}
200
preferences set db-signal-filter-other {1}
201
preferences set db-signal-filter-quantities {1}
202
preferences set db-signal-filter-signals {1}
203
preferences set db-signal-filter-terminals {1}
204
preferences set db-signal-filter-variables {1}
205
preferences set db-signal-gen-radix {default}
206
preferences set db-signal-gen-showdetail {0}
207
preferences set db-signal-gen-showstrength {0}
208
preferences set db-signal-gen-sort {name}
209
preferences set db-signal-show-assertions {1}
210
preferences set db-signal-show-errorsignals {1}
211
preferences set db-signal-show-fibers {1}
212
preferences set db-signal-show-inouts {1}
213
preferences set db-signal-show-inputs {1}
214
preferences set db-signal-show-internal {1}
215
preferences set db-signal-show-mutexes {1}
216
preferences set db-signal-show-outputs {1}
217
preferences set db-signal-show-semaphores {1}
218
preferences set db-signal-vlogfilter-branches {1}
219
preferences set db-signal-vlogfilter-memories {1}
220
preferences set db-signal-vlogfilter-parameters {1}
221
preferences set db-signal-vlogfilter-registers {1}
222
preferences set db-signal-vlogfilter-variables {1}
223
preferences set db-signal-vlogfilter-wires {1}
224
preferences set default-ams-formatting {potential}
225
preferences set default-time-units {ns}
226
preferences set delete-unused-cursors-on-exit {1}
227
preferences set delete-unused-groups-on-exit {1}
228
preferences set enable-toolnet {0}
229
preferences set initial-zoom-out-full {0}
230
preferences set key-bindings {
231
        Edit>Undo "Ctrl+Z"
232
        Edit>Redo "Ctrl+Y"
233
        Edit>Copy "Ctrl+C"
234
        Edit>Cut "Ctrl+X"
235
        Edit>Paste "Ctrl+V"
236
        Edit>Delete "Del"
237
        openDB "Ctrl+O"
238
        View>Zoom>InX "Alt+I"
239
        View>Zoom>OutX "Alt+O"
240
        View>Zoom>FullX "Alt+="
241
        View>Zoom>InX_widget "I"
242
        View>Zoom>OutX_widget "O"
243
        View>Zoom>FullX_widget "="
244
        View>Zoom>Cursor-Baseline "Alt+Z"
245
        View>Center "Alt+C"
246
        View>ExpandSequenceTime>AtCursor "Alt+X"
247
        View>CollapseSequenceTime>AtCursor "Alt+S"
248
        Edit>Create>Group "Ctrl+G"
249
        Edit>Ungroup "Ctrl+Shift+G"
250
        Edit>Create>Marker "Ctrl+M"
251
        Edit>Create>Condition "Ctrl+E"
252
        Edit>Create>Bus "Ctrl+W"
253
        Explore>NextEdge "Ctrl+\]"
254
        Explore>PreviousEdge "Ctrl+\["
255
        ScrollRight "Right arrow"
256
        ScrollLeft "Left arrow"
257
        ScrollUp "Up arrow"
258
        ScrollDown "Down arrow"
259
        PageUp "PageUp"
260
        PageDown "PageDown"
261
        TopOfPage "Home"
262
        BottomOfPage "End"
263
}
264
preferences set marching-waveform {1}
265
preferences set prompt-exit {1}
266
preferences set prompt-on-reinvoke {1}
267
preferences set restore-state-on-startup {0}
268
preferences set save-state-on-startup {0}
269
preferences set sb-editor-command {xterm -e vi +%L %F}
270
preferences set sb-history-size {10}
271
preferences set sb-module-only {0}
272
preferences set sb-radix {default}
273
preferences set sb-show-strength {1}
274
preferences set sb-syntax-highlight {0}
275
preferences set sb-syntax-types {
276
    {
277
        -name "VHDL/VHDL-AMS" -dacname "vhdl" -extensions {.vhd .vhdl}
278
        -ignorecase 1 -multiline {} -singleline {--} -singlechar {} -onechar {'}
279
        -keywords {
280
            \{ abs access after alias all
281
            and architecture array assert attribute
282
            begin block body buffer bus
283
            case component configuration constant disconnect
284
            downto else elsif end entity
285
            exit file for function generate
286
            generic group guarded if impure
287
            in inertial inout is label
288
            library linkage literal loop map
289
            mod nand new next nor
290
            not null of on open
291
            or others out package port
292
            postponed procedure process pure range
293
            record register reject rem report
294
            return rol ror select severity
295
            signal shared sla sll sra
296
            srl subtype then to transport
297
            type unaffected units until use
298
            variable wait when while xnor
299
            xor `base `left `right `high
300
            `low `ascending `image `value `pos
301
            `val `succ `pred `leftof `rightof
302
            `range `reverse_range `length `delayed `stable
303
            `quiet `transaction `event `last_event `last_active
304
            `last_value `driving `driving_value `simple_name `instance_name
305
            `path_name
306
            across break nature noise quantity procedural
307
            reference spectrum subnature terminal through
308
            tolerance \}
309
        }
310
    }
311
    {
312
        -name "Verilog/Verilog-AMS" -dacname "verilog" -extensions {.v .vams .vms .va}
313
        -multiline {/* */} -singleline {//} -singlechar {}
314
        -keywords {
315
            \{ always and assign attribute begin
316
            buf bufif0 bufif1 case casex
317
            casez cmos deassign default defparam
318
            disable edge else end endattribute
319
            endcase endmodule endfunction endprimitive endspecify
320
            endtable endtask event for force
321
            forever fork function highz0 highz1
322
            if initial inout input integer
323
            join large macromodule medium module
324
            nand negedge nmos nor not
325
            notif0 notif1 or output parameter
326
            pmos posedge primitive pull0 pull1
327
            pullup pulldown rcmos reg release
328
            repeat rnmos rpmos rtran rtranif0
329
            rtranif1 scalared small specify specparam
330
            strength strong0 strong1 supply0 supply1
331
            table task time tran tranif0
332
            tranif1 tri tri0 tri1 triand
333
            trior trireg use vectored wait
334
            wand weak0 weak1 while wire
335
            wor xnor xor
336
            nature endnature abstol access ddt_nature idt_nature
337
            units flow potential discipline enddiscipline domain
338
            discrete continuous branch genvar analog generate
339
            cross above timer initial_step final_step ddt
340
            idt idtmod absdelay transition slew laplace_zd
341
            laplace_zp laplace_nd laplace_np last_crossing zi_zp
342
            zi_zd zi_np zi_nd ac_stim white_noise flicker_noise
343
            noise_table analysis ln log exp sqrt min max abs pow
344
            ceil floor sin cos tan asin acos atan atan2 sinh cosh
345
            tanh asinh acosh atanh hypot driver_update connectrules
346
            endconnectrules connectmodule connect resolveto split
347
            merged inf from exclude ground wreal dynamicparam \}
348
        }
349
    }
350
    {
351
        -name "C" -dacname "c" -extensions {.c}
352
        -multiline {/* */} -singleline {}
353
        -keywords {
354
            \{ asm auto break case catch
355
            cdecl char class const continue
356
            default define delete do double
357
            else enum extern far float
358
            for goto huge if include
359
            inline int interrupt long near
360
            operator pascal register return short
361
            signed sizeof static struct switch
362
            typedef union unsigned void volatile
363
            while \}
364
        }
365
    }
366
    {
367
        -name "C++" -dacname "c++" -extensions {.h .hpp .cc .cpp .CC}
368
        -multiline {/* */} -singleline {//}
369
        -keywords {
370
            \{ asm auto break case catch
371
            cdecl char class const continue
372
            default define delete do double
373
            else enum extern far float
374
            for friend goto huge if
375
            include inline int interrupt long
376
            near new operator pascal private
377
            protected public register return short
378
            signed sizeof static struct switch
379
            template this typedef union unsigned
380
            virtual void volatile while \}
381
        }
382
    }
383
    {
384
        -name "SystemC" -dacname "systemc" -extensions {.h .hpp .cc .cpp .CC}
385
        -multiline {/* */} -singleline {//}
386
        -keywords {
387
            \{ asm auto break case catch
388
            cdecl char class const continue
389
            default define delete do double
390
            else enum extern far float
391
            for friend goto huge if
392
            include inline int interrupt long
393
            near new operator pascal private
394
            protected public register return short
395
            signed sizeof static struct switch
396
            template this typedef union unsigned
397
            virtual void volatile while \}
398
        }
399
    }
400
}
401
preferences set sb-tab-size {8}
402
preferences set schematic-show-values {simulator}
403
preferences set search-toolbar {1}
404
preferences set seq-time-width {30}
405
preferences set sfb-colors {
406
        register #beded1
407
        variable #beded1
408
        assignStmt gray85
409
        force #faa385
410
}
411
preferences set sfb-default-tree {0}
412
preferences set sfb-max-cell-width {40}
413
preferences set show-database-names {0}
414
preferences set show-full-signal-names {0}
415
preferences set show-times-on-cursors {1}
416
preferences set show-times-on-markers {1}
417
preferences set signal-type-colors {
418
        group #0000FF
419
        overlay #0000FF
420
        input #FFFF00
421
        output #FFA500
422
        inout #00FFFF
423
        internal #00FF00
424
        fiber #FF99FF
425
        errorsignal #FF0000
426
        assertion #FF0000
427
        unknown #FFFFFF
428
}
429
preferences set snap-to-edge {1}
430
preferences set toolbars-style {icon}
431
preferences set transaction-height {3}
432
preferences set use-signal-type-colors {0}
433
preferences set use-signal-type-icons {1}
434
preferences set verilog-colors {
435
        HiZ #ff9900
436
        StrX #ff0000
437
        Sm #00ff99
438
        Me #0000ff
439
        We #00ffff
440
        La #ff00ff
441
        Pu #9900ff
442
        St #00ff00
443
        Su #ff0099
444
 
445
        1 #00ff00
446
        X #ff0000
447
        Z #ff9900
448
        other #ffff00
449
}
450
preferences set vhdl-colors {
451
        U #9900ff
452
        X #ff0000
453
 
454
        1 #00ff00
455
        Z #ff9900
456
        W #ff0000
457
        L #00ffff
458
        H #00ffff
459
        - #0000ff
460
}
461
preferences set waveform-banding {1}
462
preferences set waveform-height {10}
463
preferences set waveform-space {2}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.