OpenCores
URL https://opencores.org/ocsvn/simpletousesha2/simpletousesha2/trunk

Subversion Repositories simpletousesha2

[/] [simpletousesha2/] [trunk/] [src/] [romk224_256.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 shadow7853
-- ------------------------------------------------------------------------
2
-- Copyright (C) 2010 Arif Endro Nugroho
3
-- All rights reserved.
4
-- 
5
-- Redistribution and use in source and binary forms, with or without
6
-- modification, are permitted provided that the following conditions
7
-- are met:
8
-- 
9
-- 1. Redistributions of source code must retain the above copyright
10
--    notice, this list of conditions and the following disclaimer.
11
-- 2. Redistributions in binary form must reproduce the above copyright
12
--    notice, this list of conditions and the following disclaimer in the
13
--    documentation and/or other materials provided with the distribution.
14
-- 
15
-- THIS SOFTWARE IS PROVIDED BY ARIF ENDRO NUGROHO "AS IS" AND ANY EXPRESS
16
-- OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
17
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
18
-- DISCLAIMED. IN NO EVENT SHALL ARIF ENDRO NUGROHO BE LIABLE FOR ANY
19
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
20
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
21
-- OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
22
-- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
23
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
24
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
25
-- POSSIBILITY OF SUCH DAMAGE.
26
-- 
27
-- End Of License.
28
-- ------------------------------------------------------------------------
29
library ieee;
30
use ieee.std_logic_1164.all;
31
library work;
32
use work.shaPkg.all;
33
 
34
entity romk is
35
  port (
36
  addr  : in  integer range 0 to STMAX-1;
37
  k     : out std_logic_vector (0 to WW-1)
38
  );
39
end romk;
40
 
41
architecture phy of romk is
42
begin
43
  with addr select
44
  k        <= X"428a2f98" when 0,
45
                      X"71374491" when 1,
46
                      X"b5c0fbcf" when 2,
47
                      X"e9b5dba5" when 3,
48
                      X"3956c25b" when 4,
49
                      X"59f111f1" when 5,
50
                      X"923f82a4" when 6,
51
                      X"ab1c5ed5" when 7,
52
 
53
                      X"d807aa98" when 8,
54
                      X"12835b01" when 9,
55
                      X"243185be" when 10,
56
                      X"550c7dc3" when 11,
57
                      X"72be5d74" when 12,
58
                      X"80deb1fe" when 13,
59
                      X"9bdc06a7" when 14,
60
                      X"c19bf174" when 15,
61
 
62
                      X"e49b69c1" when 16,
63
                      X"efbe4786" when 17,
64
                      X"0fc19dc6" when 18,
65
                      X"240ca1cc" when 19,
66
                      X"2de92c6f" when 20,
67
                      X"4a7484aa" when 21,
68
                      X"5cb0a9dc" when 22,
69
                      X"76f988da" when 23,
70
 
71
                      X"983e5152" when 24,
72
                      X"a831c66d" when 25,
73
                      X"b00327c8" when 26,
74
                      X"bf597fc7" when 27,
75
                      X"c6e00bf3" when 28,
76
                      X"d5a79147" when 29,
77
                      X"06ca6351" when 30,
78
                      X"14292967" when 31,
79
 
80
                      X"27b70a85" when 32,
81
                      X"2e1b2138" when 33,
82
                      X"4d2c6dfc" when 34,
83
                      X"53380d13" when 35,
84
                      X"650a7354" when 36,
85
                      X"766a0abb" when 37,
86
                      X"81c2c92e" when 38,
87
                      X"92722c85" when 39,
88
 
89
                      X"a2bfe8a1" when 40,
90
                      X"a81a664b" when 41,
91
                      X"c24b8b70" when 42,
92
                      X"c76c51a3" when 43,
93
                      X"d192e819" when 44,
94
                      X"d6990624" when 45,
95
                      X"f40e3585" when 46,
96
                      X"106aa070" when 47,
97
 
98
                      X"19a4c116" when 48,
99
                      X"1e376c08" when 49,
100
                      X"2748774c" when 50,
101
                      X"34b0bcb5" when 51,
102
                      X"391c0cb3" when 52,
103
                      X"4ed8aa4a" when 53,
104
                      X"5b9cca4f" when 54,
105
                      X"682e6ff3" when 55,
106
 
107
                      X"748f82ee" when 56,
108
                      X"78a5636f" when 57,
109
                      X"84c87814" when 58,
110
                      X"8cc70208" when 59,
111
                      X"90befffa" when 60,
112
                      X"a4506ceb" when 61,
113
                      X"bef9a3f7" when 62,
114
                      X"c67178f2" when 63,
115
 
116
                          X"00000000" when others;
117
end phy;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.