OpenCores
URL https://opencores.org/ocsvn/simpletousesha2/simpletousesha2/trunk

Subversion Repositories simpletousesha2

[/] [simpletousesha2/] [trunk/] [src/] [sha224Pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 shadow7853
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
library work;
5
 
6
-- SHA-224 Constants Package
7
 
8
package shaPkg is
9
 
10
  constant CW     : integer := 8;         -- CLOCK MSG CHUNK WIDTH
11
  constant CLENBIT: integer := 4;         -- CLOCK MSG CHUNK WIDTH BIT --(SE 0 = CW ALTRIMENTI < CW)
12
  constant WW     : integer := 32;        -- WORD WIDTH
13
  constant STBIT  : integer := 6;         -- STEP COUNTER BIT
14
  constant STMAX  : integer := 64;        -- STEP NUMBER
15
  constant OS     : integer := 224;       -- OUTPUT SIZE
16
  constant WOUT   : integer := 7;         -- OUTPUT WORDS
17
  constant ISS    : integer := 256;       -- INTERNAL STATE SIZE
18
  constant BS     : integer := 512;       -- BLOCK SIZE
19
  constant WBLK   : integer := 16;        -- WORD IN BLOCK
20
  constant LENBIT : integer := 9;         -- MAX BLOCK LENGTH SIZE (EXPONENT)
21
  constant MSGBIT : integer := 64;        -- MAX MSG SIZE (EXPONENT)
22
 
23
  -- INITIAL HASH VALUE
24
 
25
  constant HASH0  : unsigned(0 to WW-1) := x"c1059ed8";
26
  constant HASH1  : unsigned(0 to WW-1) := x"367cd507";
27
  constant HASH2  : unsigned(0 to WW-1) := x"3070dd17";
28
  constant HASH3  : unsigned(0 to WW-1) := x"f70e5939";
29
  constant HASH4  : unsigned(0 to WW-1) := x"ffc00b31";
30
  constant HASH5  : unsigned(0 to WW-1) := x"68581511";
31
  constant HASH6  : unsigned(0 to WW-1) := x"64f98fa7";
32
  constant HASH7  : unsigned(0 to WW-1) := x"befa4fa4";
33
 
34
end shaPkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.