OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

[/] [sincos/] [trunk/] [vhdl/] [arith/] [sincos/] [sincos_tb.do] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 dk4xp
vcom -work work -2002 -explicit D:/lib/vhdl/tb/clk_rst/clk_rst.vhd
2
vcom -work work -2002 -explicit D:/lib/vhdl/msi/pipestage/pipestage.vhd
3
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos.vhd
4
vcom -work work -2002 -explicit D:/lib/vhdl/arith/sincos/sincos_tb.vhd
5
vsim work.sincos_tb
6
log -r /*
7
do sincos_tb_wave.do
8
run 2800 ns
9
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.