OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

[/] [sincos/] [trunk/] [vhdl/] [arith/] [sincos/] [sincos_tc.vhd] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 dk4xp
 
2
--------------------------------------------------------------------------------
3
-- (c) 2010.. Hoffmann RF & DSP  opencores@hoffmann-hochfrequenz.de
4
-- V1.0 published under BSD license
5
--------------------------------------------------------------------------------
6
-- file name:      sincos_tc.vhd
7
-- tool version:   ISE12.3  Modelsim 6.1, 6.5
8
-- description:    test chip for portable sine table
9
--------------------------------------------------------------------------------
10
 
11
 
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.numeric_std.all;
16
 
17
 
18
entity sincos_tc is
19
 
20
   port (
21
      clk:        in  std_logic;
22
      ce:         in  std_logic := '1';
23
      rst:        in  std_logic := '0';
24
 
25
      theta:      in  unsigned(17 downto 0);
26
      sine:       out signed(17 downto 0);
27
      cosine:     out signed(17 downto 0)
28
   );
29
end entity sincos_tc;
30
 
31
 
32
architecture rtl of sincos_tc is
33
 
34
signal   verbose:         boolean := true;
35
constant pipestages:      integer :=5;
36
 
37
 
38
----------------------------------------------------------------------------------------------------
39
 
40
BEGIN
41
 
42
u_sin: entity work.sincostab   -- convert phase to sine
43
  generic map (
44
     pipestages => pipestages
45
  )
46
  port map (
47
    clk         => clk,
48
    ce          => ce,
49
    rst         => rst,
50
 
51
    theta       => theta,
52
    sine        => sine,
53
    cosine      => cosine
54
  );
55
 
56
END ARCHITECTURE rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.