OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

[/] [sincos/] [trunk/] [vhdl/] [tb/] [clk_rst/] [clk_rst_tb.do] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 dk4xp
vsim clk_rst_tb
2
log -r /*
3
do clk_rst_wave.do
4
run 2.5 us

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.