OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [latex/] [class_a_s_c_i_i_decoder.tex] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 liubenoff
\hypertarget{class_a_s_c_i_i_decoder}{}\section{A\+S\+C\+I\+I\+Decoder Entity Reference}
2
\label{class_a_s_c_i_i_decoder}\index{A\+S\+C\+I\+I\+Decoder@{A\+S\+C\+I\+I\+Decoder}}
3 6 liubenoff
 
4
 
5 8 liubenoff
Inheritance diagram for A\+S\+C\+I\+I\+Decoder\+:\nopagebreak
6 6 liubenoff
\begin{figure}[H]
7
\begin{center}
8
\leavevmode
9 8 liubenoff
\includegraphics[width=207pt]{class_a_s_c_i_i_decoder__inherit__graph}
10 6 liubenoff
\end{center}
11
\end{figure}
12
 
13
 
14 8 liubenoff
Collaboration diagram for A\+S\+C\+I\+I\+Decoder\+:\nopagebreak
15 6 liubenoff
\begin{figure}[H]
16
\begin{center}
17
\leavevmode
18
\includegraphics[width=192pt]{class_a_s_c_i_i_decoder__coll__graph}
19
\end{center}
20
\end{figure}
21 5 liubenoff
\subsection*{Entities}
22
\begin{DoxyCompactItemize}
23
\item
24
\hyperlink{class_a_s_c_i_i_decoder_1_1arch}{arch} architecture
25
\end{DoxyCompactItemize}
26
\subsection*{Libraries}
27
 \begin{DoxyCompactItemize}
28
\item
29
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_a0a6af6eef40212dbaf130d57ce711256}\label{class_a_s_c_i_i_decoder_a0a6af6eef40212dbaf130d57ce711256}}
30
\hyperlink{class_a_s_c_i_i_decoder_a0a6af6eef40212dbaf130d57ce711256}{ieee}
31
\end{DoxyCompactItemize}
32
\subsection*{Use Clauses}
33
 \begin{DoxyCompactItemize}
34
\item
35
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_acd03516902501cd1c7296a98e22c6fcb}\label{class_a_s_c_i_i_decoder_acd03516902501cd1c7296a98e22c6fcb}}
36
\hyperlink{class_a_s_c_i_i_decoder_acd03516902501cd1c7296a98e22c6fcb}{std\+\_\+logic\+\_\+1164}
37
\item
38
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_a2edc34402b573437d5f25fa90ba4013e}\label{class_a_s_c_i_i_decoder_a2edc34402b573437d5f25fa90ba4013e}}
39
\hyperlink{class_a_s_c_i_i_decoder_a2edc34402b573437d5f25fa90ba4013e}{numeric\+\_\+std}
40
\end{DoxyCompactItemize}
41
\subsection*{Ports}
42
 \begin{DoxyCompactItemize}
43
\item
44
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_a4a4609c199d30b3adebbeb3a01276ec5}\label{class_a_s_c_i_i_decoder_a4a4609c199d30b3adebbeb3a01276ec5}}
45
\hyperlink{class_a_s_c_i_i_decoder_a4a4609c199d30b3adebbeb3a01276ec5}{clk}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
46
\item
47
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_aad8dc6359d9e23dabcbf342fadf2fa06}\label{class_a_s_c_i_i_decoder_aad8dc6359d9e23dabcbf342fadf2fa06}}
48
\hyperlink{class_a_s_c_i_i_decoder_aad8dc6359d9e23dabcbf342fadf2fa06}{reset}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
49
\item
50
\hyperlink{class_a_s_c_i_i_decoder_a11737d50bbf001c0d64a327a2afca193}{ascii\+\_\+in}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{7} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
51
\item
52 8 liubenoff
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}\label{class_a_s_c_i_i_decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}}
53
\hyperlink{class_a_s_c_i_i_decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
54 5 liubenoff
\end{DoxyCompactItemize}
55
 
56
 
57 6 liubenoff
\subsection{Member Data Documentation}
58
\mbox{\Hypertarget{class_a_s_c_i_i_decoder_a11737d50bbf001c0d64a327a2afca193}\label{class_a_s_c_i_i_decoder_a11737d50bbf001c0d64a327a2afca193}}
59
\index{A\+S\+C\+I\+I\+Decoder@{A\+S\+C\+I\+I\+Decoder}!ascii\+\_\+in@{ascii\+\_\+in}}
60
\index{ascii\+\_\+in@{ascii\+\_\+in}!A\+S\+C\+I\+I\+Decoder@{A\+S\+C\+I\+I\+Decoder}}
61
\subsubsection{\texorpdfstring{ascii\+\_\+in}{ascii\_in}}
62
{\footnotesize\ttfamily \hyperlink{class_a_s_c_i_i_decoder_a11737d50bbf001c0d64a327a2afca193}{ascii\+\_\+in} {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{7} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
63
 
64
ascii\+\_\+in(7) represents the DP state so it is not decoded. Symbol codes from 0x00 to 0x7F are without DP lit. Symbol codes from 0x80 to 0x\+FF have DP lit.
65
 
66 5 liubenoff
The documentation for this class was generated from the following file\+:\begin{DoxyCompactItemize}
67
\item
68
C\+:/\+Projects/single-\/14-\/segment-\/display-\/driver-\/w-\/decoder/\+Project/\+Sources/\hyperlink{_a_s_c_i_i_decoder_8vhd}{A\+S\+C\+I\+I\+Decoder.\+vhd}\end{DoxyCompactItemize}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.