OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [latex/] [classdisplay__driver__w__decoder.tex] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 liubenoff
\hypertarget{classdisplay__driver__w__decoder}{}\section{display\+\_\+driver\+\_\+w\+\_\+decoder Entity Reference}
2
\label{classdisplay__driver__w__decoder}\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
3
 
4
 
5
Top entity of the display driver.
6
 
7
 
8
 
9
 
10
Inheritance diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
11
\begin{figure}[H]
12
\begin{center}
13
\leavevmode
14
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__inherit__graph}
15
\end{center}
16
\end{figure}
17
 
18
 
19
Collaboration diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
20
\begin{figure}[H]
21
\begin{center}
22
\leavevmode
23
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__coll__graph}
24
\end{center}
25
\end{figure}
26
\subsection*{Entities}
27
\begin{DoxyCompactItemize}
28
\item
29
\hyperlink{classdisplay__driver__w__decoder_1_1display__driver__w__decoder__arch}{display\+\_\+driver\+\_\+w\+\_\+decoder\+\_\+arch} architecture
30
\begin{DoxyCompactList}\small\item\em Architecture definition of the \hyperlink{classdisplay__driver__w__decoder}{display\+\_\+driver\+\_\+w\+\_\+decoder}. \end{DoxyCompactList}\end{DoxyCompactItemize}
31
\subsection*{Libraries}
32
 \begin{DoxyCompactItemize}
33
\item
34
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}\label{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}}
35
\hyperlink{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}{ieee}
36
\end{DoxyCompactItemize}
37
\subsection*{Use Clauses}
38
 \begin{DoxyCompactItemize}
39
\item
40
\mbox{\Hypertarget{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}\label{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}}
41
\hyperlink{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}{std\+\_\+logic\+\_\+1164}
42
\item
43
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}\label{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}}
44
\hyperlink{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}{numeric\+\_\+std}
45
\end{DoxyCompactItemize}
46
\subsection*{Ports}
47
 \begin{DoxyCompactItemize}
48
\item
49
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}\label{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}}
50
\hyperlink{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}{clk}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
51
\begin{DoxyCompactList}\small\item\em input clock, xx M\+Hz. \end{DoxyCompactList}\item
52
\mbox{\Hypertarget{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}\label{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}}
53
\hyperlink{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}{reset}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
54
\begin{DoxyCompactList}\small\item\em active high \end{DoxyCompactList}\item
55
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}\label{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}}
56
\hyperlink{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}{ascii\+\_\+in}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{7} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
57
\begin{DoxyCompactList}\small\item\em input A\+S\+C\+II code to display \end{DoxyCompactList}\item
58
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}\label{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}}
59
\hyperlink{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}{wr\+\_\+en}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
60
\begin{DoxyCompactList}\small\item\em active high write enable to store the A\+S\+C\+II code in a register \end{DoxyCompactList}\item
61
\hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
62
\item
63
\hyperlink{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}{disp\+\_\+sel}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
64
\end{DoxyCompactItemize}
65
 
66
 
67
\subsection{Detailed Description}
68
Top entity of the display driver.
69
 
70
Top entity of the decoder architecture. Module description also goes here.
71
 
72
\subsection{Member Data Documentation}
73
\mbox{\Hypertarget{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}\label{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}}
74
\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}!disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}}
75
\index{disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}!display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
76
\subsubsection{\texorpdfstring{disp\+\_\+data\+\_\+q}{disp\_data\_q}}
77
{\footnotesize\ttfamily \hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q} {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
78
 
79
Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required disp\+\_\+sel signal must be provided (according typical dynamic display indication).\hypertarget{index_disp_data_bit_mapping}{}\subsection{Display Segment Bit Mapping}\label{index_disp_data_bit_mapping}
80
\tabulinesep=1mm
81
\begin{longtabu} spread 0pt [c]{*{16}{|X[-1]}|}
82
\hline
83
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
84
\endfirsthead
85
\hline
86
\endfoot
87
\hline
88
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
89
\endhead
90
\PBS\centering Display Segment&\PBS\centering dp&\PBS\centering m&\PBS\centering l&\PBS\centering k&\PBS\centering j&\PBS\centering i&\PBS\centering h&\PBS\centering g2&\PBS\centering g1&\PBS\centering f&\PBS\centering e&\PBS\centering d&\PBS\centering c&\PBS\centering b&\PBS\centering a \\\cline{1-16}
91
\end{longtabu}
92
Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture\+: \href{https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif}{\tt https\+://www.\+maximintegrated.\+com/en/images/appnotes/3211/3211\+Fig02.\+gif} \mbox{\Hypertarget{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}\label{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}}
93
\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}!disp\+\_\+sel@{disp\+\_\+sel}}
94
\index{disp\+\_\+sel@{disp\+\_\+sel}!display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
95
\subsubsection{\texorpdfstring{disp\+\_\+sel}{disp\_sel}}
96
{\footnotesize\ttfamily \hyperlink{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}{disp\+\_\+sel} {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
97
 
98
If more displays needs to be fed change disp\+\_\+sel to vector with length equal to number of displays. Use principles of the standard dynamic indication\+: provide data then enable the displays sequentially. If brightness control is desired just A\+ND the selector and the P\+WM controller output.
99
 
100
The documentation for this class was generated from the following file\+:\begin{DoxyCompactItemize}
101
\item
102
C\+:/\+Projects/single-\/14-\/segment-\/display-\/driver-\/w-\/decoder/\+Project/\+Sources/\hyperlink{_display_driverw_decoder___top_8vhd}{Display\+Driverw\+Decoder\+\_\+\+Top.\+vhd}\end{DoxyCompactItemize}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.