OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [impl1/] [DisplayDriverwDecoder_impl1.areasrr] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 liubenoff
----------------------------------------------------------------------
2 9 liubenoff
Report for cell display_driver_wrapper.arch
3 5 liubenoff
 
4 6 liubenoff
Register bits: 13 of 43848 (0%)
5 5 liubenoff
PIC Latch:       0
6 9 liubenoff
I/O cells:       18
7 5 liubenoff
                                          Cell usage:
8
                               cell       count    Res Usage(%)
9 6 liubenoff
                              CCU2C        5       100.0
10
                            FD1P3DX        8       100.0
11
                            FD1S3AX        1       100.0
12 5 liubenoff
                            FD1S3JX        3       100.0
13
                                GSR        1       100.0
14 6 liubenoff
                                 IB        3       100.0
15
                           IFS1P3JX        1       100.0
16
                                INV        2       100.0
17 9 liubenoff
                                 OB       15       100.0
18 6 liubenoff
                           ORCALUT4        4       100.0
19 5 liubenoff
                                PUR        1       100.0
20 6 liubenoff
                          ROM128X1A       14       100.0
21
                                VHI        1       100.0
22 5 liubenoff
                                VLO        1       100.0
23
SUB MODULES
24 9 liubenoff
                      ascii_decoder        1       100.0
25
             decoder_table_dist_rom        1       100.0
26
           display_driver_w_decoder        1       100.0
27 5 liubenoff
 
28 9 liubenoff
                         TOTAL            63
29 5 liubenoff
----------------------------------------------------------------------
30 9 liubenoff
Report for cell display_driver_w_decoder.netlist
31
     Instance path:  display_driver_with_decoder
32 5 liubenoff
                                          Cell usage:
33
                               cell       count    Res Usage(%)
34 6 liubenoff
                          ROM128X1A       14       100.0
35
SUB MODULES
36 9 liubenoff
                      ascii_decoder        1       100.0
37
             decoder_table_dist_rom        1       100.0
38 5 liubenoff
 
39 6 liubenoff
                         TOTAL            16
40
----------------------------------------------------------------------
41 9 liubenoff
Report for cell ascii_decoder.netlist
42
     Instance path:  display_driver_with_decoder.ascii_decoder_module
43 6 liubenoff
                                          Cell usage:
44
                               cell       count    Res Usage(%)
45
                          ROM128X1A       14       100.0
46
SUB MODULES
47 9 liubenoff
             decoder_table_dist_rom        1       100.0
48 6 liubenoff
 
49
                         TOTAL            15
50
----------------------------------------------------------------------
51 9 liubenoff
Report for cell decoder_table_dist_rom.netlist
52
     Instance path:  display_driver_with_decoder.ascii_decoder_module.rom_decoding_table
53 6 liubenoff
                                          Cell usage:
54
                               cell       count    Res Usage(%)
55
                          ROM128X1A       14       100.0
56
 
57
                         TOTAL            14

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.