OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [impl1/] [impl1.areasrr] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 liubenoff
----------------------------------------------------------------------
2
Report for cell DisplayDriverWrapper.arch
3
 
4 6 liubenoff
Register bits: 13 of 43848 (0%)
5 5 liubenoff
PIC Latch:       0
6 6 liubenoff
I/O cells:       19
7 5 liubenoff
                                          Cell usage:
8
                               cell       count    Res Usage(%)
9 6 liubenoff
                              CCU2C        5       100.0
10
                            FD1P3DX        8       100.0
11
                            FD1S3AX        1       100.0
12 5 liubenoff
                            FD1S3JX        3       100.0
13
                                GSR        1       100.0
14 6 liubenoff
                                 IB        3       100.0
15
                           IFS1P3JX        1       100.0
16
                                INV        2       100.0
17
                                 OB       16       100.0
18
                           ORCALUT4        4       100.0
19 5 liubenoff
                                PUR        1       100.0
20 6 liubenoff
                          ROM128X1A       14       100.0
21
                                VHI        1       100.0
22 5 liubenoff
                                VLO        1       100.0
23
SUB MODULES
24 6 liubenoff
                       ASCIIDecoder        1       100.0
25
                DistRomAsciiDecoder        1       100.0
26 9 liubenoff
           display_driver_w_decoder        1       100.0
27 5 liubenoff
 
28 6 liubenoff
                         TOTAL            64
29 5 liubenoff
----------------------------------------------------------------------
30 9 liubenoff
Report for cell display_driver_w_decoder.netlist
31 5 liubenoff
     Instance path:  DDwD_Top
32
                                          Cell usage:
33
                               cell       count    Res Usage(%)
34 6 liubenoff
                          ROM128X1A       14       100.0
35
SUB MODULES
36
                       ASCIIDecoder        1       100.0
37
                DistRomAsciiDecoder        1       100.0
38 5 liubenoff
 
39 6 liubenoff
                         TOTAL            16
40
----------------------------------------------------------------------
41
Report for cell ASCIIDecoder.netlist
42
     Instance path:  DDwD_Top.ascii_decoder_module
43
                                          Cell usage:
44
                               cell       count    Res Usage(%)
45
                          ROM128X1A       14       100.0
46
SUB MODULES
47
                DistRomAsciiDecoder        1       100.0
48
 
49
                         TOTAL            15
50
----------------------------------------------------------------------
51
Report for cell DistRomAsciiDecoder.netlist
52
     Instance path:  DDwD_Top.ascii_decoder_module.rom_decoding_table
53
                                          Cell usage:
54
                               cell       count    Res Usage(%)
55
                          ROM128X1A       14       100.0
56
 
57
                         TOTAL            14

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.