OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [impl1/] [message.xml] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 liubenoff
2
3 6 liubenoff
    
4 5 liubenoff
        
5 6 liubenoff
            1101672
6 5 liubenoff
            Warning
7 6 liubenoff
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf(21): Semantic error in "USERCODE ASCII "G.L." ; ": 
8
            Invalid Ascii char <.>.Invalid Ascii char <.>.
9
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf
10
            21
11 5 liubenoff
        
12
        
13 6 liubenoff
            1104062
14 5 liubenoff
            Warning
15 6 liubenoff
            
16
            1 semantic error
17 5 liubenoff
        
18 6 liubenoff
        
19
            51001030
20
            Warning
21
            n_rst_c
22
        
23
        
24
            51001230
25
            Warning
26
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf
27
        
28 5 liubenoff
    
29
    
30
        
31
            35002000
32
            Info
33
        
34
        
35
            35921504
36
            Info
37
            
38
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/impl1
39
        
40
        
41
            35921012
42
            Info
43
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwdecoder_top.vhd(16): 
44
            displaydriverwdecoder_top
45
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwdecoder_top.vhd
46
            16
47
        
48
        
49
            35921010
50
            Info
51
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwdecoder_top.vhd(50): 
52
            arch
53
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwdecoder_top.vhd
54
            50
55
        
56
        
57
            35921012
58
            Info
59
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd(15): 
60
            displaydriverwrapper
61
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd
62
            15
63
        
64
        
65
            35921010
66
            Info
67
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd(35): 
68
            arch
69
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd
70
            35
71
        
72
        
73
            35921205
74
            Warning
75
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd(31): 
76
            DisplayDriverWrapper
77
            arch
78
            c:/projects/single-14-segment-display-driver-w-decoder/project/sources/displaydriverwrapper.vhd
79
            31
80
        
81
        
82
            35001611
83
            Warning
84
        
85
        
86
            1166052
87
            Warning
88
            logical
89
            clk
90
            clk
91
        
92
        
93
            1166064
94
            Warning
95
            input
96
            clk
97
        
98
        
99
            1166052
100
            Warning
101
            logical
102
            reset
103
            reset
104
        
105
        
106
            1166064
107
            Warning
108
            input
109
            reset
110
        
111
        
112
            1166052
113
            Warning
114
            logical
115
            button
116
            button
117
        
118
        
119
            1166064
120
            Warning
121
            input
122
            button
123
        
124
        
125
            1163101
126
            Warning
127
            6
128
        
129
    
130
    
131
        
132 6 liubenoff
            2030012
133
            Warning
134 5 liubenoff
        
135
    
136
    
137
        
138
            1166052
139
            Warning
140
            logical
141 6 liubenoff
            symbol_scan_cntr_cry_0_S0[0]
142
            symbol_scan_cntr_cry_0_S0[0]
143 5 liubenoff
        
144
        
145 6 liubenoff
            1166052
146
            Warning
147
            logical
148
            symbol_scan_cntr_s_0_S1[7]
149
            symbol_scan_cntr_s_0_S1[7]
150
        
151
        
152
            1166052
153
            Warning
154
            logical
155
            symbol_scan_cntr_s_0_COUT[7]
156
            symbol_scan_cntr_s_0_COUT[7]
157
        
158
        
159 5 liubenoff
            1163101
160
            Warning
161 6 liubenoff
            3
162 5 liubenoff
        
163
    
164
    
165
        
166
            2011000
167
            Info
168
        
169
        
170
            2019991
171
            Warning
172
            CD638 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd":38:11:38:15|Signal empty is undriven. Either assign the signal a value or remove the signal declaration.
173
            CD638
174
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd
175
            38
176
            11
177
            38
178
            15
179
            Signal empty is undriven. Either assign the signal a value or remove the signal declaration.
180
        
181
        
182
            2019991
183
            Warning
184 6 liubenoff
            CD638 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverwDecoder_Top.vhd":53:11:53:19|Signal ascii_reg is undriven. Either assign the signal a value or remove the signal declaration.
185
            CD638
186
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverwDecoder_Top.vhd
187
            53
188
            11
189
            53
190
            19
191
            Signal ascii_reg is undriven. Either assign the signal a value or remove the signal declaration.
192
        
193
        
194
            2019991
195
            Warning
196
            CL169 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd":54:4:54:5|Pruning unused register bttn_state_5. Make sure that there are no unused intermediate registers.
197
            CL169
198
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd
199
            54
200
            4
201
            54
202
            5
203
            Pruning unused register bttn_state_5. Make sure that there are no unused intermediate registers.
204
        
205
        
206
            2019991
207
            Warning
208
            CL169 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd":54:4:54:5|Pruning unused register bttn_state_fifo_5(3 downto 0). Make sure that there are no unused intermediate registers.
209
            CL169
210
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd
211
            54
212
            4
213
            54
214
            5
215
            Pruning unused register bttn_state_fifo_5(3 downto 0). Make sure that there are no unused intermediate registers.
216
        
217
        
218
            2019991
219
            Warning
220
            MT529 :"c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwrapper.vhd":74:4:74:5|Found inferred clock DisplayDriverWrapper|button which controls 8 sequential elements including symbol_scan_cntr[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
221 5 liubenoff
            MT529
222 6 liubenoff
            c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwrapper.vhd
223
            74
224
            4
225
            74
226
            5
227
            Found inferred clock DisplayDriverWrapper|button which controls 8 sequential elements including symbol_scan_cntr[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. 
228 5 liubenoff
        
229
        
230
            2019993
231
            Warning
232 6 liubenoff
            MT420 |Found inferred clock DisplayDriverWrapper|button with period 2.25ns. Please declare a user-defined clock on object "p:button"
233 5 liubenoff
            MT420
234 6 liubenoff
            Found inferred clock DisplayDriverWrapper|button with period 2.25ns. Please declare a user-defined clock on object "p:button"
235 5 liubenoff
        
236
    
237

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.