OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [Decoding_Table/] [ROM_ASCII_Decoder/] [decoder_table_dist_rom_impl/] [decoder_table_dist_rom/] [decoder_table_dist_rom.edn] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 liubenoff
(edif decoder_table_dist_rom
2
  (edifVersion 2 0 0)
3
  (edifLevel 0)
4
  (keywordMap (keywordLevel 0))
5
  (status
6
    (written
7
      (timestamp 2017 1 18 0 48 29)
8
      (program "SCUBA" (version "Diamond (64-bit) 3.8.0.115.3"))))
9
      (comment "C:\lscc\diamond\3.8_x64\ispfpga\bin\nt64\scuba.exe -w -n decoder_table_dist_rom -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00g -type rom -addr_width 7 -num_rows 128 -data_width 14 -outdata UNREGISTERED -memfile c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem -memformat bin -fdc C:/Projects/single-14-segment-display-driver-w-decoder/Project/Sources/Decoding_Table/ROM_ASCII_Decoder/decoder_table_dist_rom_impl/decoder_table_dist_rom/decoder_table_dist_rom.fdc ")
10
  (library ORCLIB
11
    (edifLevel 0)
12
    (technology
13
      (numberDefinition))
14
    (cell ROM128X1A
15
      (cellType GENERIC)
16
      (view view1
17
        (viewType NETLIST)
18
        (interface
19
          (port AD6
20
            (direction INPUT))
21
          (port AD5
22
            (direction INPUT))
23
          (port AD4
24
            (direction INPUT))
25
          (port AD3
26
            (direction INPUT))
27
          (port AD2
28
            (direction INPUT))
29
          (port AD1
30
            (direction INPUT))
31
          (port AD0
32
            (direction INPUT))
33
          (port DO0
34
            (direction OUTPUT)))))
35
    (cell decoder_table_dist_rom
36
      (cellType GENERIC)
37
      (view view1
38
        (viewType NETLIST)
39
        (interface
40
          (port (array (rename Address "Address(6:0)") 7)
41
            (direction INPUT))
42
          (port (array (rename Q "Q(13:0)") 14)
43
            (direction OUTPUT)))
44
        (property NGD_DRC_MASK (integer 1))
45
        (contents
46
          (instance mem_0_13
47
            (viewRef view1
48
              (cellRef ROM128X1A))
49
            (property initval
50
              (string "0xDA3FFFFFBA3FFFFFB7FE6997BFFFFFFE")))
51
          (instance mem_0_12
52
            (viewRef view1
53
              (cellRef ROM128X1A))
54
            (property initval
55
              (string "0xEDEFFDEBFDEFFDEB7BFFB3E718FFD7FF")))
56
          (instance mem_0_11
57
            (viewRef view1
58
              (cellRef ROM128X1A))
59
            (property initval
60
              (string "0xF679B7FFEE79B7FFEFDFFA97BFFFFFDF")))
61
          (instance mem_0_10
62
            (viewRef view1
63
              (cellRef ROM128X1A))
64
            (property initval
65
              (string "0xF0BFD7FFB8BFD7FFEFFE7A176DFFFFFE")))
66
          (instance mem_0_9
67
            (viewRef view1
68
              (cellRef ROM128X1A))
69
            (property initval
70
              (string "0xEFEFFDEBFFEFFDEAF3FFF3E31AFFD7FF")))
71
          (instance mem_0_8
72
            (viewRef view1
73
              (cellRef ROM128X1A))
74
            (property initval
75
              (string "0xDCFF9FFEECFF9FFFBFFFF9976DFFFFFF")))
76
          (instance mem_0_7
77
            (viewRef view1
78
              (cellRef ROM128X1A))
79
            (property initval
80
              (string "0x9FF2FE59FFF2FE585CA3D3C7D0FFB0A3")))
81
          (instance mem_0_6
82
            (viewRef view1
83
              (cellRef ROM128X1A))
84
            (property initval
85
              (string "0xB7F2F69DFFF2F69DDC8B93C7D0FF388B")))
86
          (instance mem_0_5
87
            (viewRef view1
88
              (cellRef ROM128X1A))
89
            (property initval
90
              (string "0x7F100615F7100614FC8EFFC3E3FF288E")))
91
          (instance mem_0_4
92
            (viewRef view1
93
              (cellRef ROM128X1A))
94
            (property initval
95
              (string "0x3F180215F7180214FEBABFF7EBFF2ABA")))
96
          (instance mem_0_3
97
            (viewRef view1
98
              (cellRef ROM128X1A))
99
            (property initval
100
              (string "0x7BD56B4353D56B42DC92BFA7DAFF8492")))
101
          (instance mem_0_2
102
            (viewRef view1
103
              (cellRef ROM128X1A))
104
            (property initval
105
              (string "0x7F551A69DF551A69FC24FF85FFFFD024")))
106
          (instance mem_0_1
107
            (viewRef view1
108
              (cellRef ROM128X1A))
109
            (property initval
110
              (string "0x3F581AE99F581AE87C60FFF5F7FFD060")))
111
          (instance mem_0_0
112
            (viewRef view1
113
              (cellRef ROM128X1A))
114
            (property initval
115
              (string "0x7BE07F0193E07F007C12FFA7F2FF0012")))
116
          (net qdataout13
117
            (joined
118
              (portRef (member Q 0))
119
              (portRef DO0 (instanceRef mem_0_13))))
120
          (net qdataout12
121
            (joined
122
              (portRef (member Q 1))
123
              (portRef DO0 (instanceRef mem_0_12))))
124
          (net qdataout11
125
            (joined
126
              (portRef (member Q 2))
127
              (portRef DO0 (instanceRef mem_0_11))))
128
          (net qdataout10
129
            (joined
130
              (portRef (member Q 3))
131
              (portRef DO0 (instanceRef mem_0_10))))
132
          (net qdataout9
133
            (joined
134
              (portRef (member Q 4))
135
              (portRef DO0 (instanceRef mem_0_9))))
136
          (net qdataout8
137
            (joined
138
              (portRef (member Q 5))
139
              (portRef DO0 (instanceRef mem_0_8))))
140
          (net qdataout7
141
            (joined
142
              (portRef (member Q 6))
143
              (portRef DO0 (instanceRef mem_0_7))))
144
          (net qdataout6
145
            (joined
146
              (portRef (member Q 7))
147
              (portRef DO0 (instanceRef mem_0_6))))
148
          (net qdataout5
149
            (joined
150
              (portRef (member Q 8))
151
              (portRef DO0 (instanceRef mem_0_5))))
152
          (net qdataout4
153
            (joined
154
              (portRef (member Q 9))
155
              (portRef DO0 (instanceRef mem_0_4))))
156
          (net qdataout3
157
            (joined
158
              (portRef (member Q 10))
159
              (portRef DO0 (instanceRef mem_0_3))))
160
          (net qdataout2
161
            (joined
162
              (portRef (member Q 11))
163
              (portRef DO0 (instanceRef mem_0_2))))
164
          (net qdataout1
165
            (joined
166
              (portRef (member Q 12))
167
              (portRef DO0 (instanceRef mem_0_1))))
168
          (net qdataout0
169
            (joined
170
              (portRef (member Q 13))
171
              (portRef DO0 (instanceRef mem_0_0))))
172
          (net addr6
173
            (joined
174
              (portRef (member Address 0))
175
              (portRef AD6 (instanceRef mem_0_13))
176
              (portRef AD6 (instanceRef mem_0_12))
177
              (portRef AD6 (instanceRef mem_0_11))
178
              (portRef AD6 (instanceRef mem_0_10))
179
              (portRef AD6 (instanceRef mem_0_9))
180
              (portRef AD6 (instanceRef mem_0_8))
181
              (portRef AD6 (instanceRef mem_0_7))
182
              (portRef AD6 (instanceRef mem_0_6))
183
              (portRef AD6 (instanceRef mem_0_5))
184
              (portRef AD6 (instanceRef mem_0_4))
185
              (portRef AD6 (instanceRef mem_0_3))
186
              (portRef AD6 (instanceRef mem_0_2))
187
              (portRef AD6 (instanceRef mem_0_1))
188
              (portRef AD6 (instanceRef mem_0_0))))
189
          (net addr5
190
            (joined
191
              (portRef (member Address 1))
192
              (portRef AD5 (instanceRef mem_0_13))
193
              (portRef AD5 (instanceRef mem_0_12))
194
              (portRef AD5 (instanceRef mem_0_11))
195
              (portRef AD5 (instanceRef mem_0_10))
196
              (portRef AD5 (instanceRef mem_0_9))
197
              (portRef AD5 (instanceRef mem_0_8))
198
              (portRef AD5 (instanceRef mem_0_7))
199
              (portRef AD5 (instanceRef mem_0_6))
200
              (portRef AD5 (instanceRef mem_0_5))
201
              (portRef AD5 (instanceRef mem_0_4))
202
              (portRef AD5 (instanceRef mem_0_3))
203
              (portRef AD5 (instanceRef mem_0_2))
204
              (portRef AD5 (instanceRef mem_0_1))
205
              (portRef AD5 (instanceRef mem_0_0))))
206
          (net addr4
207
            (joined
208
              (portRef (member Address 2))
209
              (portRef AD4 (instanceRef mem_0_13))
210
              (portRef AD4 (instanceRef mem_0_12))
211
              (portRef AD4 (instanceRef mem_0_11))
212
              (portRef AD4 (instanceRef mem_0_10))
213
              (portRef AD4 (instanceRef mem_0_9))
214
              (portRef AD4 (instanceRef mem_0_8))
215
              (portRef AD4 (instanceRef mem_0_7))
216
              (portRef AD4 (instanceRef mem_0_6))
217
              (portRef AD4 (instanceRef mem_0_5))
218
              (portRef AD4 (instanceRef mem_0_4))
219
              (portRef AD4 (instanceRef mem_0_3))
220
              (portRef AD4 (instanceRef mem_0_2))
221
              (portRef AD4 (instanceRef mem_0_1))
222
              (portRef AD4 (instanceRef mem_0_0))))
223
          (net addr3
224
            (joined
225
              (portRef (member Address 3))
226
              (portRef AD3 (instanceRef mem_0_13))
227
              (portRef AD3 (instanceRef mem_0_12))
228
              (portRef AD3 (instanceRef mem_0_11))
229
              (portRef AD3 (instanceRef mem_0_10))
230
              (portRef AD3 (instanceRef mem_0_9))
231
              (portRef AD3 (instanceRef mem_0_8))
232
              (portRef AD3 (instanceRef mem_0_7))
233
              (portRef AD3 (instanceRef mem_0_6))
234
              (portRef AD3 (instanceRef mem_0_5))
235
              (portRef AD3 (instanceRef mem_0_4))
236
              (portRef AD3 (instanceRef mem_0_3))
237
              (portRef AD3 (instanceRef mem_0_2))
238
              (portRef AD3 (instanceRef mem_0_1))
239
              (portRef AD3 (instanceRef mem_0_0))))
240
          (net addr2
241
            (joined
242
              (portRef (member Address 4))
243
              (portRef AD2 (instanceRef mem_0_13))
244
              (portRef AD2 (instanceRef mem_0_12))
245
              (portRef AD2 (instanceRef mem_0_11))
246
              (portRef AD2 (instanceRef mem_0_10))
247
              (portRef AD2 (instanceRef mem_0_9))
248
              (portRef AD2 (instanceRef mem_0_8))
249
              (portRef AD2 (instanceRef mem_0_7))
250
              (portRef AD2 (instanceRef mem_0_6))
251
              (portRef AD2 (instanceRef mem_0_5))
252
              (portRef AD2 (instanceRef mem_0_4))
253
              (portRef AD2 (instanceRef mem_0_3))
254
              (portRef AD2 (instanceRef mem_0_2))
255
              (portRef AD2 (instanceRef mem_0_1))
256
              (portRef AD2 (instanceRef mem_0_0))))
257
          (net addr1
258
            (joined
259
              (portRef (member Address 5))
260
              (portRef AD1 (instanceRef mem_0_13))
261
              (portRef AD1 (instanceRef mem_0_12))
262
              (portRef AD1 (instanceRef mem_0_11))
263
              (portRef AD1 (instanceRef mem_0_10))
264
              (portRef AD1 (instanceRef mem_0_9))
265
              (portRef AD1 (instanceRef mem_0_8))
266
              (portRef AD1 (instanceRef mem_0_7))
267
              (portRef AD1 (instanceRef mem_0_6))
268
              (portRef AD1 (instanceRef mem_0_5))
269
              (portRef AD1 (instanceRef mem_0_4))
270
              (portRef AD1 (instanceRef mem_0_3))
271
              (portRef AD1 (instanceRef mem_0_2))
272
              (portRef AD1 (instanceRef mem_0_1))
273
              (portRef AD1 (instanceRef mem_0_0))))
274
          (net addr0
275
            (joined
276
              (portRef (member Address 6))
277
              (portRef AD0 (instanceRef mem_0_13))
278
              (portRef AD0 (instanceRef mem_0_12))
279
              (portRef AD0 (instanceRef mem_0_11))
280
              (portRef AD0 (instanceRef mem_0_10))
281
              (portRef AD0 (instanceRef mem_0_9))
282
              (portRef AD0 (instanceRef mem_0_8))
283
              (portRef AD0 (instanceRef mem_0_7))
284
              (portRef AD0 (instanceRef mem_0_6))
285
              (portRef AD0 (instanceRef mem_0_5))
286
              (portRef AD0 (instanceRef mem_0_4))
287
              (portRef AD0 (instanceRef mem_0_3))
288
              (portRef AD0 (instanceRef mem_0_2))
289
              (portRef AD0 (instanceRef mem_0_1))
290
              (portRef AD0 (instanceRef mem_0_0))))))))
291
  (design decoder_table_dist_rom
292
    (cellRef decoder_table_dist_rom
293
      (libraryRef ORCLIB)))
294
)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.