OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [Decoding_Table/] [ROM_ASCII_Decoder/] [decoder_table_dist_rom_impl/] [decoder_table_dist_rom/] [decoder_table_dist_rom.srp] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 liubenoff
SCUBA, Version Diamond (64-bit) 3.8.0.115.3
2
Wed Jan 18 00:48:29 2017
3
 
4
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
5
Copyright (c) 1995 AT&T Corp.   All rights reserved.
6
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
7
Copyright (c) 2001 Agere Systems   All rights reserved.
8
Copyright (c) 2002-2016 Lattice Semiconductor Corporation,  All rights reserved.
9
 
10
    Issued command   : C:\lscc\diamond\3.8_x64\ispfpga\bin\nt64\scuba.exe -w -n decoder_table_dist_rom -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00g -dram -type romblk -addr_width 7 -num_words 128 -data_width 14 -outdata UNREGISTERED -memfile c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem -memformat bin -fdc C:/Projects/single-14-segment-display-driver-w-decoder/Project/Sources/Decoding_Table/ROM_ASCII_Decoder/decoder_table_dist_rom_impl/decoder_table_dist_rom/decoder_table_dist_rom.fdc
11
    Circuit name     : decoder_table_dist_rom
12
    Module type      : rom
13
    Module Version   : 2.8
14
    Address width    : 7
15
    Ports            :
16
        Inputs       : Address[6:0]
17
        Outputs      : Q[13:0]
18
    I/O buffer       : not inserted
19
    Memory file      : c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem
20
    EDIF output      : decoder_table_dist_rom.edn
21
    VHDL output      : decoder_table_dist_rom.vhd
22
    VHDL template    : decoder_table_dist_rom_tmpl.vhd
23
    VHDL testbench    : tb_decoder_table_dist_rom_tmpl.vhd
24
    VHDL purpose     : for synthesis and simulation
25
    Bus notation     : big endian
26
    Report output    : decoder_table_dist_rom.srp
27
    Element Usage    :
28
      ROM128X1A : 14
29
    Estimated Resource Usage:
30
            LUT : 56

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.