OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [Decoding_Table/] [ROM_ASCII_Decoder/] [decoder_table_dist_rom_impl/] [decoder_table_dist_rom/] [decoder_table_dist_rom_tmpl.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 liubenoff
-- VHDL module instantiation generated by SCUBA Diamond (64-bit) 3.8.0.115.3
2
-- Module  Version: 2.8
3
-- Wed Jan 18 00:48:29 2017
4
 
5
-- parameterized module component declaration
6
component decoder_table_dist_rom
7
    port (Address: in  std_logic_vector(6 downto 0);
8
        Q: out  std_logic_vector(13 downto 0));
9
end component;
10
 
11
-- parameterized module component instance
12
__ : decoder_table_dist_rom
13
    port map (Address(6 downto 0)=>__, Q(13 downto 0)=>__);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.