OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [Decoding_Table/] [ROM_ASCII_Decoder/] [decoder_table_dist_rom_impl/] [decoder_table_dist_rom/] [tb_decoder_table_dist_rom_tmpl.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 liubenoff
-- VHDL testbench template generated by SCUBA Diamond (64-bit) 3.8.0.115.3
2
library IEEE;
3
use IEEE.std_logic_1164.all;
4
use IEEE.std_logic_unsigned.all;
5
 
6
use IEEE.math_real.all;
7
 
8
use IEEE.numeric_std.all;
9
 
10
entity tb is
11
end entity tb;
12
 
13
 
14
architecture test of tb is
15
 
16
    component decoder_table_dist_rom
17
        port (Address : in std_logic_vector(6 downto 0);
18
        Q : out std_logic_vector(13 downto 0)
19
    );
20
    end component;
21
 
22
    signal Address : std_logic_vector(6 downto 0) := (others => '0');
23
    signal Q : std_logic_vector(13 downto 0);
24
begin
25
    u1 : decoder_table_dist_rom
26
        port map (Address => Address, Q => Q
27
        );
28
 
29
    process
30
 
31
    begin
32
      Address <= (others => '0') ;
33
      wait for 100 ns;
34
      wait for 10 ns;
35
      for i in 0 to 131 loop
36
        wait for 10 ns;
37
        Address <= Address + '1' ;
38
      end loop;
39
      wait;
40
    end process;
41
 
42
end architecture test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.