OpenCores
URL https://opencores.org/ocsvn/soc_maker/soc_maker/trunk

Subversion Repositories soc_maker

[/] [soc_maker/] [trunk/] [examples/] [or1200_test/] [or1200_test_top.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 feddischso
--
2
--
3
--
4
-- 
5
-- Copyright (C) 2014  Christian Haettich  - feddischson [ at ] opencores.org
6
-- 
7
-- This program is free software: you can redistribute it and/or modify
8
-- it under the terms of the GNU General Public License as published by
9
-- the Free Software Foundation, either version 3 of the License, or
10
-- (at your option) any later version.
11
-- 
12
-- This program is distributed in the hope that it will be useful,
13
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
14
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
-- GNU General Public License for more details.
16
-- 
17
-- You should have received a copy of the GNU General Public License
18
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
--
21
--
22
--
23
--
24
--
25
library IEEE;
26
use IEEE.STD_LOGIC_1164.ALL;
27
Library UNISIM;
28
use UNISIM.vcomponents.all;
29
 
30
entity or1200_test_top is
31
    Port ( CLK_50M   : in  STD_LOGIC;
32
           BTN_SOUTH : in  STD_LOGIC  );
33
end or1200_test_top;
34
 
35
architecture Behavioral of or1200_test_top is
36
 
37
component or1200_test is
38
port(
39
clk_i :  in  std_logic ;
40
rst_i :  in  std_logic ;
41
tck_i :  in  std_logic ;
42
tdi_i :  in  std_logic ;
43
tdo_o :  out  std_logic ;
44
debug_rst_i :  in  std_logic ;
45
shift_dr_i :  in  std_logic ;
46
pause_dr_i :  in  std_logic ;
47
update_dr_i :  in  std_logic ;
48
capture_dr_i :  in  std_logic ;
49
debug_select_i :  in  std_logic
50
 );
51
end component or1200_test;
52
 
53
signal clk_i :  std_logic ;
54
signal rst_i :  std_logic ;
55
signal tck_i :  std_logic ;
56
signal tdi_i :  std_logic ;
57
signal tdo_o :  std_logic ;
58
signal shift_dr_i :  std_logic ;
59
signal pause_dr_i :  std_logic ;
60
signal update_dr_i :  std_logic ;
61
signal capture_dr_i :  std_logic ;
62
signal debug_select_i :  std_logic ;
63
signal debug_rst_i  :  std_logic ;
64
signal gnd : std_logic;
65
begin
66
 
67
gnd <= '0';
68
pause_dr_i <= '0';
69
clk_i <= CLK_50M;
70
rst_i <= BTN_SOUTH;
71
 
72
BSCAN_SPARTAN3A_inst : BSCAN_SPARTAN3A
73
   port map (
74
      CAPTURE => capture_dr_i,
75
      DRCK1 => open,
76
      DRCK2 => open,
77
      RESET => debug_rst_i,
78
      SEL1 => debug_select_i,
79
      SEL2 => open,
80
      SHIFT => shift_dr_i,
81
      TCK => tck_i,
82
      TDI => tdi_i,
83
      TMS => open,
84
      UPDATE => update_dr_i,
85
      TDO1 => tdo_o,
86
      TDO2 => gnd
87
);
88
 
89
 
90
top : or1200_test
91
port map(
92
clk_i          => clk_i         ,
93
rst_i          => rst_i         ,
94
tck_i          => tck_i         ,
95
tdi_i          => tdi_i         ,
96
tdo_o          => tdo_o         ,
97
debug_rst_i      => debug_rst_i     ,
98
shift_dr_i     => shift_dr_i    ,
99
pause_dr_i     => pause_dr_i    ,
100
update_dr_i    => update_dr_i   ,
101
capture_dr_i   => capture_dr_i  ,
102
debug_select_i => debug_select_i
103
);
104
 
105
 
106
end Behavioral;
107
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.