OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_gpio/] [rtl/] [verilog/] [top.body] - Blame information for rev 131

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
`VARIANT`MB
3
gpio_micro_reg
4
(
5
      .clk               ( clk         ),
6
      .reset             ( reset       ),
7
      .enable            ( enable      ),
8
      .cs                ( cs          ),
9
      .wr                ( wr          ),
10
      .rd                ( rd          ),
11
      .byte_lanes        ( 1'b1        ),
12
      .addr              ( addr        ),
13
      .wdata             ( wdata       ),
14
      .rdata             ( rdata       ),
15
 
16
      .gpio_0_out_cs         (),
17
      .gpio_0_out_dec        (),
18
      .gpio_0_out_wr_0       (),
19
      .gpio_0_oe_cs          (),
20
      .gpio_0_oe_dec         (),
21
      .gpio_0_oe_wr_0        (),
22
      .gpio_0_in_cs          (),
23
      .gpio_0_in_dec         (),
24
      .gpio_1_out_cs         (),
25
      .gpio_1_out_dec        (),
26
      .gpio_1_out_wr_0       (),
27
      .gpio_1_oe_cs          (),
28
      .gpio_1_oe_dec         (),
29
      .gpio_1_oe_wr_0        (),
30
      .gpio_1_in_cs          (),
31
      .gpio_1_in_dec         (),
32
 
33
      .gpio_0_in_rdata   ( gpio_0_in   ),
34
      .gpio_1_in_rdata   ( gpio_1_in   ),
35
 
36
      .next_gpio_0_oe    ( gpio_0_oe   ),
37
      .next_gpio_1_oe    ( gpio_1_oe   ),
38
 
39
      .next_gpio_0_out   ( gpio_0_out  ),
40
      .next_gpio_1_out   ( gpio_1_out  ),
41
 
42
      .gpio_0_out_rdata  ( gpio_0_out  ),
43
      .gpio_1_out_rdata  ( gpio_1_out  ),
44
 
45
      .gpio_0_oe_rdata   ( gpio_0_oe   ),
46
      .gpio_1_oe_rdata   ( gpio_1_oe   ),
47
 
48
      .gpio_0_out        ( gpio_0_out  ),
49
      .gpio_1_out        ( gpio_1_out  ),
50
 
51
      .gpio_0_oe         ( gpio_0_oe   ),
52
      .gpio_1_oe         ( gpio_1_oe   )
53
 
54
);
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.