OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_uart/] [sim/] [testbenches/] [xml/] [io_uart_def_lint.xml] - Blame information for rev 134

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
io
39
io_uart
40
def_lint
41
 
42
 
43
 
44
 
45
 
46
 
47
48
 
49
50
    BUS_ADDR_WIDTH4
51
    UART_MODEL_CLKCNT4'b1100
52
    UART_MODEL_SIZE4
53
54
 
55
 
56
       
57
 
58
              
59
              Dut
60
              
61
              
62
                                   spirit:library="io"
63
                                   spirit:name="io_uart"
64
                                   spirit:version="def_dut.params"/>
65
              
66
              
67
 
68
 
69
              
70
              lint
71
              :*Lint:*
72
              Verilog
73
              fs-lint
74
              
75
 
76
 
77
              
78
              rtl_check
79
              
80
              
81
                                   spirit:library="Testbench"
82
                                   spirit:name="toolflow"
83
                                   spirit:version="rtl_check"/>
84
              
85
              
86
 
87
 
88
 
89
      
90
 
91
 
92
 
93
 
94
95
 
96
 
97
 
98
 
99
  
100
 
101
 
102
 
103
 
104
 
105
 
106
    
107
      fs-lint
108
 
109
      
110
        
111
        ../verilog/lint/io_uart_def_lint
112
        verilogSource
113
        module
114
      
115
 
116
 
117
    
118
 
119
 
120
 
121
 
122
  
123
 
124
 
125
 
126

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.