OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [disp_io/] [sim/] [icarus/] [default/] [wave.sav] - Blame information for rev 133

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
[*]
2 133 jt_eaton
[*] GTKWave Analyzer v3.3.62 (w)1999-2014 BSI
3
[*] Tue Apr 14 16:49:53 2015
4 131 jt_eaton
[*]
5
[dumpfile] "/home/johne/Desktop/socgen/work/opencores.org__logic/ip/disp_io/sim/icarus/default/TestBench.vcd"
6 133 jt_eaton
[dumpfile_mtime] "Tue Apr 14 16:48:51 2015"
7
[dumpfile_size] 157661
8
[savefile] "/home/johne/Desktop/socgen/Projects/opencores.org/logic/ip/disp_io/sim/icarus/default/wave.sav"
9
[timestart] 0
10 131 jt_eaton
[size] 1613 999
11
[pos] -1 -1
12 133 jt_eaton
*-17.000000 35900 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13 131 jt_eaton
[treeopen] TB.
14 133 jt_eaton
[treeopen] TB.test.
15 131 jt_eaton
[sst_width] 223
16
[signals_width] 166
17
[sst_expanded] 1
18
[sst_vpaned_height] 300
19
@28
20
TB.test.clk
21
TB.test.reset
22
TB.test.START
23
TB.test.FINISH
24
TB.test.STOP
25
TB.test.FAIL
26
@22
27
TB.test.R_PosD[15:0]
28
TB.test.R_PosL[7:0]
29
TB.test.R_btn_pad_in[3:0]
30
TB.test.R_sw_pad_in[7:0]
31
TB.test.led_pad_out[7:0]
32 133 jt_eaton
TB.test.an_pad_out[3:0]
33
@28
34
TB.test.dp_pad_out
35
@22
36
TB.test.led_pad_out[7:0]
37
TB.test.seg_pad_out[6:0]
38
TB.test.sw_pad_in[7:0]
39
TB.test.btn_pad_in[3:0]
40
TB.test.display_model.segment0[3:0]
41
TB.test.display_model.segment1[3:0]
42
TB.test.display_model.segment2[3:0]
43
TB.test.display_model.segment3[3:0]
44
@800022
45
TB.test.display_model.an[3:0]
46
@28
47
(0)TB.test.display_model.an[3:0]
48
(1)TB.test.display_model.an[3:0]
49
(2)TB.test.display_model.an[3:0]
50
(3)TB.test.display_model.an[3:0]
51
@1001200
52
-group_end
53
@22
54
TB.test.display_model.decode[3:0]
55 131 jt_eaton
[pattern_trace] 1
56
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.