OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [serial_rcvr/] [sim/] [icarus/] [fifo_default/] [wave.sav] - Blame information for rev 131

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
[*]
2
[*] GTKWave Analyzer v3.3.40 (w)1999-2012 BSI
3
[*] Fri Jan 18 01:46:39 2013
4
[*]
5
[dumpfile] "/home/johne/Desktop/socgen/work/opencores.org__logic/ip/serial_rcvr/sim/icarus/fifo_default/TestBench.vcd"
6
[dumpfile_mtime] "Fri Jan 18 01:45:50 2013"
7
[dumpfile_size] 11827846
8
[savefile] "/home/johne/Desktop/socgen/projects/opencores.org/logic/ip/serial_rcvr/sim/icarus/fifo_default/wave.sav"
9
[timestart] 0
10
[size] 1704 1148
11
[pos] -1 -1
12
*-22.000000 16360420 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] TB.
14
[treeopen] TB.test.
15
[treeopen] TB.test.dut.
16
[sst_width] 223
17
[signals_width] 174
18
[sst_expanded] 1
19
[sst_vpaned_height] 368
20
@28
21
TB.test.R_edge_enable
22
TB.test.xmit_edge_enable
23
TB.test.clk
24
TB.test.reset
25
TB.test.start_value
26
TB.test.stop_value
27
TB.test.parity_type
28
TB.test.xmit_parity_type
29
TB.test.parity_force
30
TB.test.parity_enable
31
TB.test.pad_in
32
TB.test.R_stop_value
33
TB.test.R_start_value
34
TB.test.xmit_buffer_empty
35
@22
36
TB.test.xmit_data[7:0]
37
@28
38
TB.test.xmit_load
39
TB.test.xmit_parity_enable
40
TB.test.xmit_parity_force
41
TB.test.xmit_start_value
42
TB.test.xmit_stop_value
43
@200
44
-
45
@28
46
TB.test.serial
47
@200
48
-
49
@28
50
TB.test.data_avail
51
TB.test.rcv_stb
52
@22
53
TB.test.data_out[7:0]
54
@28
55
TB.test.parity_error
56
TB.test.stop_error
57
@22
58
TB.test.dut.serial_rcvr.shift_cnt[3:0]
59
@28
60
TB.test.dut.serial_rcvr.last_cnt
61
TB.test.dut.serial_rcvr.break_detect
62
TB.test.dut.start_detect
63
TB.test.dut.divider_reset
64
@29
65
TB.test.dut.baud_enable
66
[pattern_trace] 1
67
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.