OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [toolflows/] [toolflow/] [xml/] [ise.xml] - Blame information for rev 133

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
Testbench
39
toolflow
40
ise
41
 
42
43
 
44
 
45 133 jt_eaton
46
  gen_root
47
  103.5
48
  none
49
  :*Simulation:*
50
  ./tools/verilog/gen_root
51
52 131 jt_eaton
 
53
 
54
 
55
56 133 jt_eaton
  gen_design
57
  103.5
58
  none
59
  :*Simulation:*
60
  ./tools/verilog/gen_design
61
62
 
63
 
64
 
65
 
66
 
67
 
68
69 131 jt_eaton
  gen_verilogLib_syn
70
  105.0
71
  none
72
  :*Synthesis:*
73
  ./tools/verilog/gen_verilogLib
74
    
75
    
76
      dest_dir
77
      ../views
78
    
79
    
80
      view
81
      syn
82
    
83
  
84
85
 
86
 
87
 
88
 
89
 
90
 
91
 
92
 
93
 
94
 
95
 
96
 
97
98
 
99
 
100
 
101
102
 
103
 
104
 
105
 
106
 
107
 
108
   
109
      fs-syn
110
 
111
      
112
        dest_dir
113
        ../views/syn/
114
        verilogSource
115
        libraryDir
116
      
117
 
118
   
119
 
120
 
121
 
122
 
123
124
 
125
 
126
 
127
 
128
 
129
 
130
131
 
132
 
133
 
134
 
135
       
136
 
137
 
138
 
139
              
140
              syn
141
              :*Synthesis:*
142
              Verilog
143
              
144
              fs-syn
145
              
146
 
147
 
148
 
149
 
150
      
151
 
152
 
153
 
154
155
 
156
157
 
158
 
159
 
160
 
161
 
162
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.