OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [mult/] [sim/] [icarus/] [serial/] [test_define] - Blame information for rev 131

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
initial
3
begin
4
$display("              ");
5
$display("              ===================================================");
6
$display("              Test Start");
7
$display("              ===================================================");
8
$display("              ");
9
test.a_in_R         <= 32'h00000000;
10
test.b_in_R         <= 32'h00000000;
11
test.mul_prod_exp_R <= 64'h00000000_00000000;
12
test.alu_op_mul_R   <= 1'b0;
13
test.ex_freeze_R    <= 1'b0;
14
test.mask_R         <= 1'b0;
15
test.cg.next(2);
16
 
17
test.cg.next(20);
18
test.u_cmp(32'h80000004,32'h80000007,32'h8000001c);
19
test.u_cmp(32'h11111111,32'h22222222,32'h0eca8642);
20
test.u_cmp(32'h33333333,32'h44444444,32'h58bf258c);
21
test.u_cmp(32'h12233455,32'hfed43562,32'he7b3a18a);
22
test.u_cmp(32'h12233455,32'h7ed43562,32'h67b3a18a);
23
test.u_cmp(32'h92233455,32'h7ed43562,32'h67b3a18a);
24
test.u_cmp(32'h92233455,32'hfed43562,32'he7b3a18a);
25
test.u_cmp(32'h00000003,32'h00000002,32'h00000006);
26
test.u_cmp(32'h00000019,32'h00000002,32'h00000032);
27
test.u_cmp(32'h00000032,32'h00000002,32'h00000064);
28
test.u_cmp(32'h00000064,32'h00000002,32'h000000c8);
29
test.u_cmp(32'h00000024,32'h00000001,32'h00000024);
30
test.u_cmp(32'h00000045,32'h00000001,32'h00000045);
31
test.u_cmp(32'h0000008d,32'h00000001,32'h0000008d);
32
test.u_cmp(32'h00000085,32'h00000008,32'h00000428);
33
test.u_cmp(32'h000004bf,32'h00000008,32'h000025f8);
34
test.u_cmp(32'h0000255c,32'h00000008,32'h00012ae0);
35
test.u_cmp(32'h00012b81,32'h00000008,32'h00095c08);
36
test.u_cmp(32'h00095b73,32'h00000008,32'h004adb98);
37
test.u_cmp(32'h0095b73a,32'h00000008,32'h04adb9d0);
38
test.u_cmp(32'h095b7392,32'h00000008,32'h4adb9c90);
39
test.u_cmp(32'h6a48c6ce,32'h00000008,32'h52463670);
40
test.u_cmp(32'h62de2cfa,32'h00000008,32'h16f167d0);
41
test.u_cmp(32'h4adb9c91,32'h00000002,32'h95b73922);
42
test.u_cmp(32'h53575f05,32'h0000000c,32'he818743c);
43
test.u_cmp(32'h6a48c6de,32'h00000002,32'hd4918dbc);
44
test.u_cmp(32'h1386e68d,32'h00000010,32'h386e68d0);
45
test.u_cmp(32'h2b6e7244,32'h00000002,32'h56dce488);
46
test.u_cmp(32'h1e6e7bc9,32'h00000014,32'h60a1abb4);
47
test.u_cmp(32'h09c4c727,32'h00000008,32'h4e263938);
48
test.u_cmp(32'h4e263938,32'h00000001,32'h4e263938);
49
test.u_cmp(32'h63b38d87,32'h0000000c,32'hac6aa254);
50
test.u_cmp(32'h53955dac,32'h00000001,32'h53955dac);
51
test.u_cmp(32'h58d544b5,32'h00000010,32'h8d544b50);
52
test.u_cmp(32'h72abb4b0,32'h00000001,32'h72abb4b0);
53
test.u_cmp(32'h1aa8968f,32'h00000014,32'h152bc32c);
54
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
55
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
56
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
57
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
58
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
59
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
60
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
61
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
62
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
63
test.u_cmp(32'h1f5ddf1d,32'h00000002,32'h3ebbbe3a);
64
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
65
test.u_cmp(32'h3ebbbe3a,32'h00000002,32'h7d777c74);
66
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
67
test.u_cmp(32'h7d777c74,32'h00000002,32'hfaeef8e8);
68
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
69
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
70
test.u_cmp(32'h1f5ddf24,32'h00000001,32'h1f5ddf24);
71
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
72
test.u_cmp(32'h3ebbbe41,32'h00000001,32'h3ebbbe41);
73
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
74
test.u_cmp(32'h7d777c91,32'h00000001,32'h7d777c91);
75
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
76
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
77
test.u_cmp(32'h7d777c6d,32'h00000008,32'hebbbe368);
78
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
79
test.u_cmp(32'h69336003,32'h00000008,32'h499b0018);
80
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
81
test.u_cmp(32'h33dc7c8c,32'h00000008,32'h9ee3e460);
82
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
83
test.u_cmp(32'h216c67ab,32'h00000008,32'h0b633d58);
84
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
85
test.u_cmp(32'h7725460f,32'h00000008,32'hb92a3078);
86
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
87
test.u_cmp(32'h725460fa,32'h00000008,32'h92a307d0);
88
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
89
test.u_cmp(32'h25460f8e,32'h00000008,32'h2a307c70);
90
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
91
test.u_cmp(32'h5460f902,32'h00000008,32'ha307c810);
92
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
93
test.u_cmp(32'h78d74b9a,32'h00000008,32'hc6ba5cd0);
94
test.u_cmp(32'h2a307c71,32'h00000002,32'h5460f8e2);
95
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
96
test.u_cmp(32'h1b1b55bb,32'h00000010,32'hb1b55bb0);
97
test.u_cmp(32'h5460f8e2,32'h00000002,32'ha8c1f1c4);
98
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
99
test.u_cmp(32'h08f369db,32'h00000020,32'h1e6d3b60);
100
test.u_cmp(32'h573e0e3c,32'h00000002,32'hae7c1c78);
101
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
102
test.u_cmp(32'h6ff11f09,32'h00000040,32'hfc47c240);
103
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
104
test.u_cmp(32'h553c214d,32'h00000008,32'ha9e10a68);
105
test.u_cmp(32'h561ef598,32'h00000001,32'h561ef598);
106
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
107
test.u_cmp(32'h53c214c7,32'h00000010,32'h3c214c70);
108
test.u_cmp(32'h3c214c70,32'h00000001,32'h3c214c70);
109
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
110
test.u_cmp(32'h784298f1,32'h00000020,32'h08531e20);
111
test.u_cmp(32'h08531e20,32'h00000001,32'h08531e20);
112
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
113
test.u_cmp(32'h10a63c1f,32'h00000040,32'h298f07c0);
114
test.u_cmp(32'h3034e141,32'h00000002,32'h6069c282);
115
test.u_cmp(32'h6069c273,32'h6069c263,32'h4f6c5879);
116
 
117
 
118
test.u_cmp(32'h000000a5,32'h00000005,32'h00000339);
119
test.u_cmp(32'h00000052,32'h00000005,32'h0000019a);
120
test.u_cmp(32'h000002d7,32'h00000069,32'h00012a2f);
121
test.u_cmp(32'h7ffffe94,32'h00000069,32'h7fff6ab4);
122
test.u_cmp(32'h000001b6,32'h00000072,32'h0000c30c);
123
test.u_cmp(32'h7fffff25,32'h00000072,32'hffff9e7a);
124
test.u_cmp(32'h00000392,32'h0000001c,32'h000063f8);
125
test.u_cmp(32'h7ffffe37,32'h0000001c,32'hffffce04);
126
test.u_cmp(32'h000000e4,32'h00000007,32'h0000063c);
127
test.u_cmp(32'h00000072,32'h00000007,32'h0000031e);
128
test.u_cmp(32'h000002c7,32'h00000069,32'h0001239f);
129
test.u_cmp(32'h00000163,32'h00000069,32'h0000919b);
130
test.u_cmp(32'h000001b2,32'h00000072,32'h0000c144);
131
test.u_cmp(32'h7fffff27,32'h00000072,32'hffff9f5e);
132
test.u_cmp(32'h00000393,32'h00000034,32'h0000b9dc);
133
test.u_cmp(32'h7ffffe36,32'h00000034,32'hffffa2f8);
134
test.u_cmp(32'h0000049c,32'h0000005b,32'h0001a374);
135
test.u_cmp(32'h7ffffdb2,32'h0000005b,32'h7fff2e46);
136
test.u_cmp(32'h00000027,32'h00000052,32'h00000c7e);
137
test.u_cmp(32'h00000013,32'h00000052,32'h00000616);
138
test.u_cmp(32'h00000576,32'h00000005,32'h00001b4e);
139
test.u_cmp(32'h7ffffd45,32'h00000005,32'h7ffff259);
140
test.u_cmp(32'h00000523,32'h00000056,32'h0001b9c2);
141
test.u_cmp(32'h00000291,32'h00000056,32'h0000dcb6);
142
test.u_cmp(32'h000002b7,32'h00000015,32'h00003903);
143
test.u_cmp(32'h7ffffea4,32'h00000015,32'h7fffe374);
144
test.u_cmp(32'h00000253,32'h00000043,32'h00009bb9);
145
test.u_cmp(32'h7ffffed6,32'h00000043,32'h7fffb202);
146
test.u_cmp(32'h000005eb,32'h0000002f,32'h00011625);
147
test.u_cmp(32'h000002f5,32'h0000002f,32'h00008afb);
148
test.u_cmp(32'h0000017a,32'h00000025,32'h000036a2);
149
test.u_cmp(32'h7fffff43,32'h00000025,32'h7fffe4af);
150
test.u_cmp(32'h00000422,32'h00000072,32'h0001d724);
151
test.u_cmp(32'h00000211,32'h00000072,32'h0000eb92);
152
test.u_cmp(32'h00000477,32'h0000000d,32'h00003a0b);
153
test.u_cmp(32'h7ffffdc4,32'h0000000d,32'h7fffe2f4);
154
test.u_cmp(32'h0000079d,32'h0000003c,32'h0001c8cc);
155
test.u_cmp(32'h7ffffc31,32'h0000003c,32'hffff1b7c);
156
test.u_cmp(32'h000001e7,32'h00000021,32'h00003ec7);
157
test.u_cmp(32'h000000f3,32'h00000021,32'h00001f53);
158
test.u_cmp(32'h00000407,32'h00000071,32'h0001c717);
159
test.u_cmp(32'h00000203,32'h00000071,32'h0000e353);
160
test.u_cmp(32'h0000047e,32'h0000000d,32'h00003a66);
161
test.u_cmp(32'h7ffffdc1,32'h0000000d,32'h7fffe2cd);
162
test.u_cmp(32'h00000561,32'h00000054,32'h0001c3d4);
163
test.u_cmp(32'h000002b0,32'h00000054,32'h0000e1c0);
164
test.u_cmp(32'h00000059,32'h0000007d,32'h00002b75);
165
test.u_cmp(32'h7fffffd3,32'h0000007d,32'h7fffea07);
166
test.u_cmp(32'h000003e9,32'h00000031,32'h0000bf99);
167
test.u_cmp(32'h000001f4,32'h00000031,32'h00005fb4);
168
test.u_cmp(32'h0000067a,32'h00000033,32'h00014a4e);
169
test.u_cmp(32'h7ffffcc3,32'h00000033,32'h7fff5ad9);
170
test.u_cmp(32'h00000362,32'h0000004c,32'h00010118);
171
test.u_cmp(32'h000001b1,32'h0000004c,32'h0000808c);
172
test.u_cmp(32'h000005a7,32'h00000003,32'h000010f5);
173
test.u_cmp(32'h000002d3,32'h00000003,32'h00000879);
174
test.u_cmp(32'h000007e9,32'h00000011,32'h00008679);
175
test.u_cmp(32'h000003f4,32'h00000011,32'h00004334);
176
test.u_cmp(32'h00000586,32'h00000053,32'h0001ca72);
177
test.u_cmp(32'h000002c3,32'h00000053,32'h0000e539);
178
test.u_cmp(32'h00000062,32'h0000007c,32'h00002f78);
179
test.u_cmp(32'h00000031,32'h0000007c,32'h000017bc);
180
test.u_cmp(32'h000003e7,32'h0000001f,32'h000078f9);
181
test.u_cmp(32'h000001f3,32'h0000001f,32'h00003c6d);
182
test.u_cmp(32'h00000207,32'h0000006f,32'h0000e109);
183
test.u_cmp(32'h00000103,32'h0000006f,32'h0000704d);
184
test.u_cmp(32'h00000182,32'h00000073,32'h0000ad66);
185
test.u_cmp(32'h000000c1,32'h00000073,32'h000056b3);
186
test.u_cmp(32'h00000161,32'h00000074,32'h00009ff4);
187
test.u_cmp(32'h000000b0,32'h00000074,32'h00004fc0);
188
test.u_cmp(32'h000003a7,32'h0000001d,32'h000069eb);
189
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
190
test.u_cmp(32'h00000217,32'h00000041,32'h000087d7);
191
test.u_cmp(32'h7ffffef4,32'h00000041,32'h7fffbbf4);
192
test.u_cmp(32'h000005fa,32'h00000001,32'h000005fa);
193
test.u_cmp(32'h7ffffd03,32'h00000001,32'h7ffffd03);
194
test.u_cmp(32'h000007fe,32'h00000011,32'h000087de);
195
test.u_cmp(32'h7ffffc01,32'h00000011,32'h7fffbc11);
196
test.u_cmp(32'h00000581,32'h0000007d,32'h0002affd);
197
test.u_cmp(32'h000002c0,32'h0000007d,32'h000157c0);
198
test.u_cmp(32'h0000041f,32'h00000020,32'h000083e0);
199
test.u_cmp(32'h7ffffdf0,32'h00000020,32'hffffbe00);
200
test.u_cmp(32'h000003f9,32'h00000060,32'h00017d60);
201
test.u_cmp(32'h7ffffe03,32'h00000060,32'hffff4120);
202
test.u_cmp(32'h000001ff,32'h00000070,32'h0000df90);
203
test.u_cmp(32'h7fffff00,32'h00000070,32'hffff9000);
204
test.u_cmp(32'h00000380,32'h0000001c,32'h00006200);
205
test.u_cmp(32'h000001c0,32'h0000001c,32'h00003100);
206
test.u_cmp(32'h00000220,32'h0000006f,32'h0000ebe0);
207
test.u_cmp(32'h7ffffef0,32'h0000006f,32'h7fff8a10);
208
test.u_cmp(32'h00000188,32'h00000073,32'h0000b018);
209
test.u_cmp(32'h000000c4,32'h00000073,32'h0000580c);
210
test.u_cmp(32'h00000162,32'h00000074,32'h0000a068);
211
test.u_cmp(32'h000000b1,32'h00000074,32'h00005034);
212
test.u_cmp(32'h000003a7,32'h0000001d,32'h000069eb);
213
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
214
test.u_cmp(32'h00000217,32'h00000041,32'h000087d7);
215
test.u_cmp(32'h7ffffef4,32'h00000041,32'h7fffbbf4);
216
test.u_cmp(32'h000005fa,32'h00000001,32'h000005fa);
217
test.u_cmp(32'h7ffffd03,32'h00000001,32'h7ffffd03);
218
test.u_cmp(32'h000007fe,32'h00000011,32'h000087de);
219
test.u_cmp(32'h7ffffc01,32'h00000011,32'h7fffbc11);
220
test.u_cmp(32'h0000077f,32'h00000015,32'h00009d6b);
221
test.u_cmp(32'h7ffffc40,32'h00000015,32'h7fffb140);
222
test.u_cmp(32'h0000075f,32'h00000016,32'h0000a22a);
223
test.u_cmp(32'h7ffffc50,32'h00000016,32'hffffaee0);
224
test.u_cmp(32'h000005a9,32'h0000007e,32'h0002c92e);
225
test.u_cmp(32'h000002d4,32'h0000007e,32'h00016458);
226
test.u_cmp(32'h000006eb,32'h00000068,32'h0002cf78);
227
test.u_cmp(32'h00000375,32'h00000068,32'h00016788);
228
test.u_cmp(32'h0000063b,32'h00000062,32'h00026296);
229
test.u_cmp(32'h7ffffce2,32'h00000062,32'hfffece84);
230
test.u_cmp(32'h0000060f,32'h00000061,32'h00024baf);
231
test.u_cmp(32'h00000307,32'h00000061,32'h000125a7);
232
test.u_cmp(32'h000004fc,32'h00000009,32'h00002cdc);
233
test.u_cmp(32'h7ffffd82,32'h00000009,32'h7fffe992);
234
test.u_cmp(32'h000007bf,32'h00000013,32'h0000932d);
235
test.u_cmp(32'h7ffffc20,32'h00000013,32'h7fffb660);
236
test.u_cmp(32'h00000591,32'h0000007d,32'h0002b7cd);
237
test.u_cmp(32'h7ffffd37,32'h0000007d,32'h7ffea3db);
238
test.u_cmp(32'h0000041b,32'h00000020,32'h00008360);
239
test.u_cmp(32'h7ffffdf2,32'h00000020,32'hffffbe40);
240
test.u_cmp(32'h000003fa,32'h00000060,32'h00017dc0);
241
test.u_cmp(32'h7ffffe03,32'h00000060,32'hffff4120);
242
test.u_cmp(32'h000001ff,32'h00000070,32'h0000df90);
243
test.u_cmp(32'h7fffff00,32'h00000070,32'hffff9000);
244
test.u_cmp(32'h00000180,32'h00000074,32'h0000ae00);
245
test.u_cmp(32'h7fffff40,32'h00000074,32'hffffa900);
246
test.u_cmp(32'h00000160,32'h00000075,32'h0000a0e0);
247
test.u_cmp(32'h7fffff50,32'h00000075,32'h7fffaf90);
248
test.u_cmp(32'h000003a8,32'h00000033,32'h0000ba78);
249
test.u_cmp(32'h000001d4,32'h00000033,32'h00005d3c);
250
test.u_cmp(32'h00000496,32'h0000005b,32'h0001a152);
251
test.u_cmp(32'h7ffffdb5,32'h0000005b,32'h7fff2f57);
252
test.u_cmp(32'h000002da,32'h00000016,32'h00003ebc);
253
test.u_cmp(32'h7ffffe93,32'h00000016,32'hffffe0a2);
254
test.u_cmp(32'h000000b6,32'h0000002b,32'h00001e92);
255
test.u_cmp(32'h7fffffa5,32'h0000002b,32'h7ffff0b7);
256
test.u_cmp(32'h000006ad,32'h0000001b,32'h0000b43f);
257
test.u_cmp(32'h00000356,32'h0000001b,32'h00005a12);
258
test.u_cmp(32'h0000072b,32'h00000017,32'h0000a4dd);
259
test.u_cmp(32'h00000395,32'h00000017,32'h00005263);
260
test.u_cmp(32'h0000074a,32'h0000003a,32'h0001a6c4);
261
test.u_cmp(32'h000003a5,32'h0000003a,32'h0000d362);
262
test.u_cmp(32'h0000032e,32'h00000066,32'h00014454);
263
test.u_cmp(32'h00000197,32'h00000066,32'h0000a22a);
264
test.u_cmp(32'h00000334,32'h00000019,32'h00005014);
265
test.u_cmp(32'h7ffffe66,32'h00000019,32'h7fffd7f6);
266
test.u_cmp(32'h000000cd,32'h00000006,32'h000004ce);
267
test.u_cmp(32'h00000066,32'h00000006,32'h00000264);
268
test.u_cmp(32'h00000033,32'h00000001,32'h00000033);
269
test.u_cmp(32'h7fffffe6,32'h00000001,32'h7fffffe6);
270
test.u_cmp(32'h0000000c,32'h00000000,32'h00000000);
271
test.u_cmp(32'h00000006,32'h00000000,32'h00000000);
272
test.u_cmp(32'h000002fd,32'h00000048,32'h0000d728);
273
test.u_cmp(32'h7ffffe81,32'h00000048,32'hffff9448);
274
test.u_cmp(32'h000005c0,32'h0000002e,32'h00010880);
275
test.u_cmp(32'h000002e0,32'h0000002e,32'h00008440);
276
test.u_cmp(32'h00000390,32'h00000063,32'h000160b0);
277
test.u_cmp(32'h000001c8,32'h00000063,32'h0000b058);
278
test.u_cmp(32'h0000031c,32'h00000038,32'h0000ae20);
279
test.u_cmp(32'h7ffffe72,32'h00000038,32'hffffa8f0);
280
test.u_cmp(32'h00000647,32'h00000032,32'h000139de);
281
test.u_cmp(32'h00000323,32'h00000032,32'h00009cd6);
282
test.u_cmp(32'h0000036f,32'h0000004c,32'h000104f4);
283
test.u_cmp(32'h000001b7,32'h0000004c,32'h00008254);
284
test.u_cmp(32'h000005a4,32'h0000002d,32'h0000fdd4);
285
test.u_cmp(32'h000002d2,32'h0000002d,32'h00007eea);
286
test.u_cmp(32'h00000169,32'h00000025,32'h0000342d);
287
test.u_cmp(32'h000000b4,32'h00000025,32'h00001a04);
288
test.u_cmp(32'h00000426,32'h0000005e,32'h000185f4);
289
test.u_cmp(32'h00000213,32'h0000005e,32'h0000c2fa);
290
test.u_cmp(32'h0000000a,32'h00000051,32'h0000032a);
291
test.u_cmp(32'h00000005,32'h00000051,32'h00000195);
292
test.u_cmp(32'h00000783,32'h00000043,32'h0001f749);
293
test.u_cmp(32'h000003c1,32'h00000043,32'h0000fb83);
294
test.u_cmp(32'h000000e1,32'h00000058,32'h00004d58);
295
test.u_cmp(32'h00000070,32'h00000058,32'h00002680);
296
test.u_cmp(32'h000007b9,32'h0000006e,32'h0003517e);
297
test.u_cmp(32'h7ffffc23,32'h0000006e,32'hfffe570a);
298
test.u_cmp(32'h0000066f,32'h00000064,32'h0002835c);
299
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
300
test.u_cmp(32'h0000061c,32'h0000004f,32'h0001e2a4);
301
test.u_cmp(32'h7ffffcf2,32'h0000004f,32'h7fff0eae);
302
test.u_cmp(32'h00000087,32'h0000007b,32'h000040dd);
303
test.u_cmp(32'h00000043,32'h0000007b,32'h00002031);
304
test.u_cmp(32'h000003de,32'h0000001e,32'h00007404);
305
test.u_cmp(32'h7ffffe11,32'h0000001e,32'hffffc5fe);
306
test.u_cmp(32'h000000f7,32'h00000029,32'h0000278f);
307
test.u_cmp(32'h7fffff84,32'h00000029,32'h7fffec24);
308
test.u_cmp(32'h00000443,32'h00000073,32'h0001ea19);
309
test.u_cmp(32'h00000221,32'h00000073,32'h0000f4d3);
310
test.u_cmp(32'h0000046f,32'h00000023,32'h00009b2d);
311
test.u_cmp(32'h00000237,32'h00000023,32'h00004d85);
312
test.u_cmp(32'h000003e5,32'h00000060,32'h000175e0);
313
test.u_cmp(32'h000001f2,32'h00000060,32'h0000bac0);
314
test.u_cmp(32'h00000306,32'h00000038,32'h0000a950);
315
test.u_cmp(32'h00000183,32'h00000038,32'h000054a8);
316
test.u_cmp(32'h00000641,32'h0000001e,32'h0000bb9e);
317
test.u_cmp(32'h00000320,32'h0000001e,32'h00005dc0);
318
test.u_cmp(32'h00000710,32'h00000018,32'h0000a980);
319
test.u_cmp(32'h7ffffc78,32'h00000018,32'hffffab40);
320
test.u_cmp(32'h000005bc,32'h0000007e,32'h0002d288);
321
test.u_cmp(32'h7ffffd22,32'h0000007e,32'hfffe96bc);
322
test.u_cmp(32'h000006ef,32'h00000048,32'h0001f338);
323
test.u_cmp(32'h00000377,32'h00000048,32'h0000f978);
324
test.u_cmp(32'h00000244,32'h00000012,32'h000028c8);
325
test.u_cmp(32'h00000122,32'h00000012,32'h00001464);
326
test.u_cmp(32'h0000026f,32'h0000006c,32'h000106d4);
327
test.u_cmp(32'h00000137,32'h0000006c,32'h00008334);
328
test.u_cmp(32'h0000019c,32'h00000073,32'h0000b914);
329
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
330
test.u_cmp(32'h00000399,32'h00000034,32'h0000bb14);
331
test.u_cmp(32'h7ffffe33,32'h00000034,32'hffffa25c);
332
test.u_cmp(32'h00000666,32'h0000001d,32'h0000b98e);
333
test.u_cmp(32'h00000333,32'h0000001d,32'h00005cc7);
334
test.u_cmp(32'h00000719,32'h00000038,32'h00018d78);
335
test.u_cmp(32'h7ffffc73,32'h00000038,32'hffff3928);
336
test.u_cmp(32'h0000033a,32'h00000066,32'h0001491c);
337
test.u_cmp(32'h7ffffe63,32'h00000066,32'hffff5b72);
338
test.u_cmp(32'h00000331,32'h00000019,32'h00004fc9);
339
test.u_cmp(32'h7ffffe67,32'h00000019,32'h7fffd80f);
340
test.u_cmp(32'h000000cc,32'h00000006,32'h000004c8);
341
test.u_cmp(32'h00000066,32'h00000006,32'h00000264);
342
test.u_cmp(32'h00000033,32'h0000002f,32'h0000095d);
343
test.u_cmp(32'h7fffffe6,32'h0000002f,32'h7ffffb3a);
344
test.u_cmp(32'h00000474,32'h00000074,32'h00020490);
345
test.u_cmp(32'h7ffffdc6,32'h00000074,32'hfffefdb8);
346
test.u_cmp(32'h0000069d,32'h0000004b,32'h0001efff);
347
test.u_cmp(32'h7ffffcb1,32'h0000004b,32'h7fff07db);
348
test.u_cmp(32'h00000258,32'h00000012,32'h00002a30);
349
test.u_cmp(32'h7ffffed4,32'h00000012,32'hffffeae8);
350
test.u_cmp(32'h00000096,32'h0000002c,32'h000019c8);
351
test.u_cmp(32'h7fffffb5,32'h0000002c,32'hfffff31c);
352
test.u_cmp(32'h0000045b,32'h00000073,32'h0001f4e1);
353
test.u_cmp(32'h7ffffdd2,32'h00000073,32'h7fff0556);
354
test.u_cmp(32'h00000469,32'h0000000d,32'h00003955);
355
test.u_cmp(32'h00000234,32'h0000000d,32'h00001ca4);
356
test.u_cmp(32'h00000566,32'h0000007c,32'h00029d68);
357
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
358
test.u_cmp(32'h00000426,32'h0000000f,32'h00003e3a);
359
test.u_cmp(32'h00000213,32'h0000000f,32'h00001f1d);
360
test.u_cmp(32'h00000577,32'h0000007c,32'h0002a5a4);
361
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
362
test.u_cmp(32'h000006de,32'h00000049,32'h0001f54e);
363
test.u_cmp(32'h7ffffc91,32'h00000049,32'h7fff0559);
364
test.u_cmp(32'h000000b8,32'h00000056,32'h00003dd0);
365
test.u_cmp(32'h7fffffa4,32'h00000056,32'hffffe118);
366
test.u_cmp(32'h000007ae,32'h00000042,32'h0001fadc);
367
test.u_cmp(32'h000003d7,32'h00000042,32'h0000fd6e);
368
test.u_cmp(32'h000000ec,32'h00000058,32'h00005120);
369
test.u_cmp(32'h00000076,32'h00000058,32'h00002890);
370
test.u_cmp(32'h000007bb,32'h0000006e,32'h0003525a);
371
test.u_cmp(32'h7ffffc22,32'h0000006e,32'hfffe569c);
372
test.u_cmp(32'h00000491,32'h0000000c,32'h000036cc);
373
test.u_cmp(32'h7ffffdb7,32'h0000000c,32'hffffe494);
374
test.u_cmp(32'h0000055c,32'h00000055,32'h0001c78c);
375
test.u_cmp(32'h7ffffd52,32'h00000055,32'h7fff1c3a);
376
test.u_cmp(32'h000002a9,32'h00000015,32'h000037dd);
377
test.u_cmp(32'h00000154,32'h00000015,32'h00001be4);
378
test.u_cmp(32'h00000256,32'h00000043,32'h00009c82);
379
test.u_cmp(32'h7ffffed5,32'h00000043,32'h7fffb1bf);
380
test.u_cmp(32'h000005ea,32'h00000001,32'h000005ea);
381
test.u_cmp(32'h000002f5,32'h00000001,32'h000002f5);
382
test.u_cmp(32'h00000506,32'h00000079,32'h00025fd6);
383
test.u_cmp(32'h00000283,32'h00000079,32'h00012feb);
384
test.u_cmp(32'h0000043e,32'h00000021,32'h00008bfe);
385
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
386
test.u_cmp(32'h0000010f,32'h00000008,32'h00000878);
387
test.u_cmp(32'h00000087,32'h00000008,32'h00000438);
388
test.u_cmp(32'h000002bd,32'h00000046,32'h0000bfae);
389
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
390
test.u_cmp(32'h00000730,32'h00000046,32'h0001f720);
391
test.u_cmp(32'h00000398,32'h00000046,32'h0000fb90);
392
test.u_cmp(32'h00000234,32'h0000003f,32'h00008acc);
393
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
394
test.u_cmp(32'h000004f3,32'h00000078,32'h000251e8);
395
test.u_cmp(32'h7ffffd86,32'h00000078,32'hfffed6d0);
396
test.u_cmp(32'h000006bd,32'h0000004a,32'h0001f2a2);
397
test.u_cmp(32'h7ffffca1,32'h0000004a,32'hffff068a);
398
test.u_cmp(32'h00000250,32'h00000012,32'h000029a0);
399
test.u_cmp(32'h7ffffed8,32'h00000012,32'hffffeb30);
400
test.u_cmp(32'h0000026c,32'h00000044,32'h0000a4b0);
401
test.u_cmp(32'h00000136,32'h00000044,32'h00005258);
402
test.u_cmp(32'h000005e5,32'h0000002f,32'h0001150b);
403
test.u_cmp(32'h000002f2,32'h0000002f,32'h00008a6e);
404
test.u_cmp(32'h00000387,32'h0000004d,32'h00010f9b);
405
test.u_cmp(32'h000001c3,32'h0000004d,32'h000087a7);
406
test.u_cmp(32'h0000059e,32'h00000004,32'h00001678);
407
test.u_cmp(32'h7ffffd31,32'h00000004,32'hfffff4c4);
408
test.u_cmp(32'h000007e7,32'h00000011,32'h00008657);
409
test.u_cmp(32'h000003f3,32'h00000011,32'h00004323);
410
test.u_cmp(32'h00000779,32'h0000003b,32'h0001b8e3);
411
test.u_cmp(32'h7ffffc43,32'h0000003b,32'h7fff2371);
412
test.u_cmp(32'h000001de,32'h0000000e,32'h00001a24);
413
test.u_cmp(32'h7fffff11,32'h0000000e,32'hfffff2ee);
414
test.u_cmp(32'h00000077,32'h0000002d,32'h000014eb);
415
test.u_cmp(32'h7fffffc4,32'h0000002d,32'h7ffff574);
416
test.u_cmp(32'h00000463,32'h0000005c,32'h00019394);
417
test.u_cmp(32'h00000231,32'h0000005c,32'h0000c99c);
418
test.u_cmp(32'h00000019,32'h00000051,32'h000007e9);
419
test.u_cmp(32'h7ffffff3,32'h00000051,32'h7ffffbe3);
420
test.u_cmp(32'h00000787,32'h0000006d,32'h0003347b);
421
test.u_cmp(32'h000003c3,32'h0000006d,32'h00019a07);
422
test.u_cmp(32'h00000662,32'h0000004c,32'h0001e518);
423
test.u_cmp(32'h00000331,32'h0000004c,32'h0000f28c);
424
test.u_cmp(32'h00000267,32'h0000003d,32'h0000928b);
425
test.u_cmp(32'h00000133,32'h0000003d,32'h00004927);
426
test.u_cmp(32'h000004e7,32'h00000078,32'h00024c48);
427
test.u_cmp(32'h00000273,32'h00000078,32'h000125e8);
428
test.u_cmp(32'h00000446,32'h0000000e,32'h00003bd4);
429
test.u_cmp(32'h00000223,32'h0000000e,32'h00001dea);
430
test.u_cmp(32'h00000791,32'h00000014,32'h00009754);
431
test.u_cmp(32'h7ffffc37,32'h00000014,32'hffffb44c);
432
test.u_cmp(32'h0000059c,32'h0000007d,32'h0002bd2c);
433
test.u_cmp(32'h7ffffd32,32'h0000007d,32'h7ffea16a);
434
test.u_cmp(32'h00000419,32'h00000010,32'h00004190);
435
test.u_cmp(32'h7ffffdf3,32'h00000010,32'hffffdf30);
436
test.u_cmp(32'h00000786,32'h00000014,32'h00009678);
437
test.u_cmp(32'h000003c3,32'h00000014,32'h00004b3c);
438
test.u_cmp(32'h0000059f,32'h00000053,32'h0001d28d);
439
test.u_cmp(32'h7ffffd30,32'h00000053,32'h7fff1690);
440
test.u_cmp(32'h00000068,32'h0000007c,32'h00003260);
441
test.u_cmp(32'h00000034,32'h0000007c,32'h00001930);
442
test.u_cmp(32'h000003e6,32'h0000001f,32'h000078da);
443
test.u_cmp(32'h000001f3,32'h0000001f,32'h00003c6d);
444
test.u_cmp(32'h00000207,32'h0000006f,32'h0000e109);
445
test.u_cmp(32'h00000103,32'h0000006f,32'h0000704d);
446
test.u_cmp(32'h00000182,32'h00000073,32'h0000ad66);
447
test.u_cmp(32'h000000c1,32'h00000073,32'h000056b3);
448
test.u_cmp(32'h0000039f,32'h0000001c,32'h00006564);
449
test.u_cmp(32'h7ffffe30,32'h0000001c,32'hffffcd40);
450
test.u_cmp(32'h00000219,32'h0000006f,32'h0000e8d7);
451
test.u_cmp(32'h7ffffef3,32'h0000006f,32'h7fff8b5d);
452
test.u_cmp(32'h00000379,32'h0000001b,32'h00005dc3);
453
test.u_cmp(32'h7ffffe43,32'h0000001b,32'h7fffd111);
454
test.u_cmp(32'h000000de,32'h0000002a,32'h0000246c);
455
test.u_cmp(32'h7fffff91,32'h0000002a,32'hffffedca);
456
test.u_cmp(32'h00000449,32'h00000073,32'h0001eccb);
457
test.u_cmp(32'h00000224,32'h00000073,32'h0000f62c);
458
test.u_cmp(32'h0000046d,32'h0000000d,32'h00003989);
459
test.u_cmp(32'h00000236,32'h0000000d,32'h00001cbe);
460
test.u_cmp(32'h00000565,32'h0000007c,32'h00029cec);
461
test.u_cmp(32'h000002b2,32'h0000007c,32'h00014e38);
462
test.u_cmp(32'h000006da,32'h00000067,32'h0002c1b6);
463
test.u_cmp(32'h7ffffc93,32'h00000067,32'h7ffe9f25);
464
test.u_cmp(32'h000004c9,32'h0000000a,32'h00002fda);
465
test.u_cmp(32'h00000264,32'h0000000a,32'h000017e8);
466
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
467
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
468
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
469
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
470
test.u_cmp(32'h0000078b,32'h00000014,32'h000096dc);
471
test.u_cmp(32'h000003c5,32'h00000014,32'h00004b64);
472
test.u_cmp(32'h00000762,32'h00000015,32'h00009b0a);
473
test.u_cmp(32'h000003b1,32'h00000015,32'h00004d85);
474
test.u_cmp(32'h000005a8,32'h0000007e,32'h0002c8b0);
475
test.u_cmp(32'h000002d4,32'h0000007e,32'h00016458);
476
test.u_cmp(32'h00000416,32'h00000010,32'h00004160);
477
test.u_cmp(32'h7ffffdf5,32'h00000010,32'hffffdf50);
478
test.u_cmp(32'h00000785,32'h00000014,32'h00009664);
479
test.u_cmp(32'h000003c2,32'h00000014,32'h00004b28);
480
test.u_cmp(32'h0000059f,32'h00000053,32'h0001d28d);
481
test.u_cmp(32'h7ffffd30,32'h00000053,32'h7fff1690);
482
test.u_cmp(32'h00000068,32'h00000054,32'h00002220);
483
test.u_cmp(32'h00000034,32'h00000054,32'h00001110);
484
test.u_cmp(32'h00000566,32'h00000005,32'h00001afe);
485
test.u_cmp(32'h000002b3,32'h00000005,32'h00000d7f);
486
test.u_cmp(32'h00000527,32'h0000007a,32'h00027496);
487
test.u_cmp(32'h00000293,32'h0000007a,32'h00013a0e);
488
test.u_cmp(32'h00000436,32'h00000021,32'h00008af6);
489
test.u_cmp(32'h7ffffde5,32'h00000021,32'h7fffba85);
490
test.u_cmp(32'h0000010d,32'h00000028,32'h00002a08);
491
test.u_cmp(32'h00000086,32'h00000028,32'h000014f0);
492
test.u_cmp(32'h000006c3,32'h00000036,32'h00016d22);
493
test.u_cmp(32'h00000361,32'h00000036,32'h0000b676);
494
test.u_cmp(32'h00000350,32'h0000004b,32'h0000f870);
495
test.u_cmp(32'h000001a8,32'h0000004b,32'h00007c38);
496
test.u_cmp(32'h00000754,32'h0000006b,32'h0003101c);
497
test.u_cmp(32'h7ffffc56,32'h0000006b,32'h7ffe77f2);
498
test.u_cmp(32'h000004ab,32'h0000000b,32'h00003359);
499
test.u_cmp(32'h00000255,32'h0000000b,32'h000019a7);
500
test.u_cmp(32'h00000556,32'h00000055,32'h0001c58e);
501
test.u_cmp(32'h7ffffd55,32'h00000055,32'h7fff1d39);
502
test.u_cmp(32'h000002aa,32'h00000015,32'h000037f2);
503
test.u_cmp(32'h00000155,32'h00000015,32'h00001bf9);
504
test.u_cmp(32'h000000aa,32'h00000005,32'h00000352);
505
test.u_cmp(32'h00000055,32'h00000005,32'h000001a9);
506
test.u_cmp(32'h000002d6,32'h00000069,32'h000129c6);
507
test.u_cmp(32'h7ffffe95,32'h00000069,32'h7fff6b1d);
508
test.u_cmp(32'h0000034a,32'h0000001a,32'h00005584);
509
test.u_cmp(32'h000001a5,32'h0000001a,32'h00002ac2);
510
test.u_cmp(32'h0000022e,32'h0000006e,32'h0000efc4);
511
test.u_cmp(32'h00000117,32'h0000006e,32'h000077e2);
512
test.u_cmp(32'h00000374,32'h00000035,32'h0000b704);
513
test.u_cmp(32'h7ffffe46,32'h00000035,32'h7fffa47e);
514
test.u_cmp(32'h0000065d,32'h00000032,32'h00013e2a);
515
test.u_cmp(32'h7ffffcd1,32'h00000032,32'hffff60d2);
516
test.u_cmp(32'h00000197,32'h0000000c,32'h00001314);
517
test.u_cmp(32'h7fffff34,32'h0000000c,32'hfffff670);
518
test.u_cmp(32'h00000065,32'h0000002d,32'h000011c1);
519
test.u_cmp(32'h00000032,32'h0000002d,32'h000008ca);
520
test.u_cmp(32'h00000699,32'h00000034,32'h00015714);
521
test.u_cmp(32'h7ffffcb3,32'h00000034,32'hffff545c);
522
test.u_cmp(32'h0000035a,32'h0000004b,32'h0000fb5e);
523
test.u_cmp(32'h7ffffe53,32'h0000004b,32'h7fff8251);
524
test.u_cmp(32'h000005a9,32'h0000002d,32'h0000feb5);
525
test.u_cmp(32'h000002d4,32'h0000002d,32'h00007f44);
526
test.u_cmp(32'h00000396,32'h0000004d,32'h0001141e);
527
test.u_cmp(32'h7ffffe35,32'h0000004d,32'h7fff75f1);
528
test.u_cmp(32'h0000059a,32'h0000002c,32'h0000f678);
529
test.u_cmp(32'h7ffffd33,32'h0000002c,32'hffff84c4);
530
test.u_cmp(32'h0000039a,32'h0000004d,32'h00011552);
531
test.u_cmp(32'h7ffffe33,32'h0000004d,32'h7fff7557);
532
test.u_cmp(32'h00000599,32'h0000002c,32'h0000f64c);
533
test.u_cmp(32'h7ffffd33,32'h0000002c,32'hffff84c4);
534
test.u_cmp(32'h00000166,32'h00000025,32'h000033be);
535
test.u_cmp(32'h000000b3,32'h00000025,32'h000019df);
536
test.u_cmp(32'h00000427,32'h0000005e,32'h00018652);
537
test.u_cmp(32'h00000213,32'h0000005e,32'h0000c2fa);
538
test.u_cmp(32'h0000000a,32'h0000007f,32'h000004f6);
539
test.u_cmp(32'h00000005,32'h0000007f,32'h0000027b);
540
test.u_cmp(32'h000003fd,32'h00000031,32'h0000c36d);
541
test.u_cmp(32'h7ffffe01,32'h00000031,32'h7fff9e31);
542
test.u_cmp(32'h00000481,32'h00000075,32'h00020ef5);
543
test.u_cmp(32'h00000240,32'h00000075,32'h00010740);
544
test.u_cmp(32'h0000045f,32'h0000000e,32'h00003d32);
545
test.u_cmp(32'h7ffffdd0,32'h0000000e,32'hffffe160);
546
test.u_cmp(32'h00000569,32'h0000007c,32'h00029edc);
547
test.u_cmp(32'h000002b4,32'h0000007c,32'h00014f30);
548
test.u_cmp(32'h00000425,32'h00000021,32'h000088c5);
549
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
550
test.u_cmp(32'h000003f7,32'h00000050,32'h00013d30);
551
test.u_cmp(32'h7ffffe04,32'h00000050,32'hffff6140);
552
test.u_cmp(32'h00000582,32'h00000004,32'h00001608);
553
test.u_cmp(32'h000002c1,32'h00000004,32'h00000b04);
554
test.u_cmp(32'h00000520,32'h00000079,32'h00026c20);
555
test.u_cmp(32'h7ffffd70,32'h00000079,32'h7ffec9f0);
556
test.u_cmp(32'h00000438,32'h0000000f,32'h00003f48);
557
test.u_cmp(32'h7ffffde4,32'h0000000f,32'h7fffe05c);
558
test.u_cmp(32'h00000572,32'h0000007c,32'h0002a338);
559
test.u_cmp(32'h7ffffd47,32'h0000007c,32'hfffeae64);
560
test.u_cmp(32'h00000423,32'h0000000f,32'h00003e0d);
561
test.u_cmp(32'h00000211,32'h0000000f,32'h00001eff);
562
test.u_cmp(32'h00000578,32'h00000054,32'h0001cb60);
563
test.u_cmp(32'h7ffffd44,32'h00000054,32'hffff1a50);
564
test.u_cmp(32'h0000005e,32'h0000007d,32'h00002de6);
565
test.u_cmp(32'h7fffffd1,32'h0000007d,32'h7fffe90d);
566
test.u_cmp(32'h00000118,32'h00000077,32'h00008228);
567
test.u_cmp(32'h7fffff74,32'h00000077,32'h7fffbeec);
568
test.u_cmp(32'h00000146,32'h00000075,32'h000094fe);
569
test.u_cmp(32'h000000a3,32'h00000075,32'h00004a7f);
570
test.u_cmp(32'h000003ae,32'h00000033,32'h0000bbaa);
571
test.u_cmp(32'h000001d7,32'h00000033,32'h00005dd5);
572
test.u_cmp(32'h00000495,32'h00000075,32'h00021819);
573
test.u_cmp(32'h7ffffdb5,32'h00000075,32'h7ffef3b9);
574
test.u_cmp(32'h0000045a,32'h00000022,32'h000093f4);
575
test.u_cmp(32'h7ffffdd3,32'h00000022,32'hffffb606);
576
test.u_cmp(32'h00000116,32'h00000008,32'h000008b0);
577
test.u_cmp(32'h7fffff75,32'h00000008,32'hfffffba8);
578
test.u_cmp(32'h00000045,32'h00000002,32'h0000008a);
579
test.u_cmp(32'h00000022,32'h00000002,32'h00000044);
580
test.u_cmp(32'h000002ef,32'h00000068,32'h00013118);
581
test.u_cmp(32'h00000177,32'h00000068,32'h00009858);
582
test.u_cmp(32'h000001bc,32'h0000005e,32'h0000a308);
583
test.u_cmp(32'h7fffff22,32'h0000005e,32'hffffae7c);
584
test.u_cmp(32'h000007ef,32'h00000070,32'h00037890);
585
test.u_cmp(32'h000003f7,32'h00000070,32'h0001bc10);
586
test.u_cmp(32'h00000484,32'h00000024,32'h0000a290);
587
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
588
test.u_cmp(32'h00000121,32'h00000009,32'h00000a29);
589
test.u_cmp(32'h00000090,32'h00000009,32'h00000510);
590
test.u_cmp(32'h000002b8,32'h00000046,32'h0000be50);
591
test.u_cmp(32'h7ffffea4,32'h00000046,32'hffffa0d8);
592
test.u_cmp(32'h000005d2,32'h00000002,32'h00000ba4);
593
test.u_cmp(32'h7ffffd17,32'h00000002,32'hfffffa2e);
594
test.u_cmp(32'h000007f4,32'h0000003f,32'h0001f50c);
595
test.u_cmp(32'h7ffffc06,32'h0000003f,32'h7fff057a);
596
test.u_cmp(32'h00000303,32'h00000049,32'h0000dbdb);
597
test.u_cmp(32'h00000181,32'h00000049,32'h00006dc9);
598
test.u_cmp(32'h000005bf,32'h00000003,32'h0000113d);
599
test.u_cmp(32'h7ffffd20,32'h00000003,32'h7ffff760);
600
test.u_cmp(32'h00000511,32'h00000057,32'h0001b8c7);
601
test.u_cmp(32'h7ffffd77,32'h00000057,32'h7fff2371);
602
test.u_cmp(32'h000002bb,32'h00000015,32'h00003957);
603
test.u_cmp(32'h7ffffea2,32'h00000015,32'h7fffe34a);
604
test.u_cmp(32'h000000ae,32'h0000002b,32'h00001d3a);
605
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
606
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
607
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
608
test.u_cmp(32'h00000696,32'h0000004b,32'h0001edf2);
609
test.u_cmp(32'h7ffffcb5,32'h0000004b,32'h7fff0907);
610
test.u_cmp(32'h0000025a,32'h00000012,32'h00002a54);
611
test.u_cmp(32'h7ffffed3,32'h00000012,32'hffffead6);
612
test.u_cmp(32'h00000096,32'h00000004,32'h00000258);
613
test.u_cmp(32'h7fffffb5,32'h00000004,32'hfffffed4);
614
test.u_cmp(32'h000002db,32'h00000047,32'h0000cabd);
615
test.u_cmp(32'h7ffffe92,32'h00000047,32'h7fff9a7e);
616
test.u_cmp(32'h00000737,32'h00000046,32'h0001f90a);
617
test.u_cmp(32'h7ffffc64,32'h00000046,32'hffff0358);
618
test.u_cmp(32'h00000232,32'h0000003f,32'h00008a4e);
619
test.u_cmp(32'h7ffffee7,32'h0000003f,32'h7fffbad9);
620
test.u_cmp(32'h0000060c,32'h00000020,32'h0000c180);
621
test.u_cmp(32'h00000306,32'h00000020,32'h000060c0);
622
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
623
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
624
test.u_cmp(32'h00000740,32'h0000003a,32'h0001a480);
625
test.u_cmp(32'h000003a0,32'h0000003a,32'h0000d240);
626
test.u_cmp(32'h00000330,32'h00000066,32'h00014520);
627
test.u_cmp(32'h00000198,32'h00000066,32'h0000a290);
628
test.u_cmp(32'h000001cc,32'h0000005f,32'h0000aab4);
629
test.u_cmp(32'h000000e6,32'h0000005f,32'h0000555a);
630
test.u_cmp(32'h0000050d,32'h00000028,32'h0000ca08);
631
test.u_cmp(32'h00000286,32'h00000028,32'h000064f0);
632
test.u_cmp(32'h000003bd,32'h0000004e,32'h00012396);
633
test.u_cmp(32'h7ffffe21,32'h0000004e,32'hffff6e0e);
634
test.u_cmp(32'h00000590,32'h00000004,32'h00001640);
635
test.u_cmp(32'h7ffffd38,32'h00000004,32'hfffff4e0);
636
test.u_cmp(32'h0000051c,32'h00000057,32'h0001bc84);
637
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
638
test.u_cmp(32'h000002b9,32'h00000015,32'h0000392d);
639
test.u_cmp(32'h7ffffea3,32'h00000015,32'h7fffe35f);
640
test.u_cmp(32'h00000252,32'h00000043,32'h00009b76);
641
test.u_cmp(32'h7ffffed7,32'h00000043,32'h7fffb245);
642
test.u_cmp(32'h00000715,32'h00000047,32'h0001f6d3);
643
test.u_cmp(32'h7ffffc75,32'h00000047,32'h7fff0473);
644
test.u_cmp(32'h000000c6,32'h00000057,32'h0000434a);
645
test.u_cmp(32'h00000063,32'h00000057,32'h000021a5);
646
test.u_cmp(32'h000007b2,32'h0000006e,32'h00034e7c);
647
test.u_cmp(32'h7ffffc27,32'h0000006e,32'hfffe58c2);
648
test.u_cmp(32'h0000066d,32'h00000064,32'h00028294);
649
test.u_cmp(32'h00000336,32'h00000064,32'h00014118);
650
test.u_cmp(32'h0000061c,32'h0000004f,32'h0001e2a4);
651
test.u_cmp(32'h7ffffcf2,32'h0000004f,32'h7fff0eae);
652
test.u_cmp(32'h00000279,32'h0000003d,32'h000096d5);
653
test.u_cmp(32'h7ffffec3,32'h0000003d,32'h7fffb477);
654
test.u_cmp(32'h0000061e,32'h00000030,32'h000125a0);
655
test.u_cmp(32'h7ffffcf1,32'h00000030,32'hffff6d30);
656
test.u_cmp(32'h00000187,32'h0000000c,32'h00001254);
657
test.u_cmp(32'h000000c3,32'h0000000c,32'h00000924);
658
test.u_cmp(32'h00000061,32'h0000002d,32'h0000110d);
659
test.u_cmp(32'h00000030,32'h0000002d,32'h00000870);
660
test.u_cmp(32'h00000468,32'h00000074,32'h0001ff20);
661
test.u_cmp(32'h00000234,32'h00000074,32'h0000ff90);
662
test.u_cmp(32'h00000466,32'h0000000d,32'h0000392e);
663
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
664
test.u_cmp(32'h00000799,32'h0000003c,32'h0001c7dc);
665
test.u_cmp(32'h7ffffc33,32'h0000003c,32'hffff1bf4);
666
test.u_cmp(32'h0000031a,32'h00000049,32'h0000e26a);
667
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
668
test.u_cmp(32'h000005b9,32'h00000003,32'h0000112b);
669
test.u_cmp(32'h7ffffd23,32'h00000003,32'h7ffff769);
670
test.u_cmp(32'h000007ee,32'h0000003f,32'h0001f392);
671
test.u_cmp(32'h000003f7,32'h0000003f,32'h0000f9c9);
672
test.u_cmp(32'h000001fb,32'h00000021,32'h0000415b);
673
test.u_cmp(32'h7fffff02,32'h00000021,32'h7fffdf42);
674
test.u_cmp(32'h00000402,32'h00000071,32'h0001c4e2);
675
test.u_cmp(32'h00000201,32'h00000071,32'h0000e271);
676
test.u_cmp(32'h0000047f,32'h0000000d,32'h00003a73);
677
test.u_cmp(32'h7ffffdc0,32'h0000000d,32'h7fffe2c0);
678
test.u_cmp(32'h0000079f,32'h00000014,32'h0000986c);
679
test.u_cmp(32'h7ffffc30,32'h00000014,32'hffffb3c0);
680
test.u_cmp(32'h00000599,32'h0000007d,32'h0002bbb5);
681
test.u_cmp(32'h7ffffd33,32'h0000007d,32'h7ffea1e7);
682
test.u_cmp(32'h000006e7,32'h00000068,32'h0002cdd8);
683
test.u_cmp(32'h00000373,32'h00000068,32'h000166b8);
684
test.u_cmp(32'h000004c6,32'h0000000a,32'h00002fbc);
685
test.u_cmp(32'h00000263,32'h0000000a,32'h000017de);
686
test.u_cmp(32'h0000054f,32'h0000007b,32'h00028cf5);
687
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
688
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
689
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
690
test.u_cmp(32'h00000575,32'h0000007c,32'h0002a4ac);
691
test.u_cmp(32'h7ffffd45,32'h0000007c,32'hfffead6c);
692
test.u_cmp(32'h00000422,32'h0000000f,32'h00003dfe);
693
test.u_cmp(32'h00000211,32'h0000000f,32'h00001eff);
694
test.u_cmp(32'h00000788,32'h0000003c,32'h0001c3e0);
695
test.u_cmp(32'h000003c4,32'h0000003c,32'h0000e1f0);
696
test.u_cmp(32'h0000031e,32'h00000067,32'h00014112);
697
test.u_cmp(32'h7ffffe71,32'h00000067,32'h7fff5f77);
698
test.u_cmp(32'h000001c8,32'h00000071,32'h0000c948);
699
test.u_cmp(32'h000000e4,32'h00000071,32'h000064a4);
700
test.u_cmp(32'h00000172,32'h00000074,32'h0000a7a8);
701
test.u_cmp(32'h7fffff47,32'h00000074,32'hffffac2c);
702
test.u_cmp(32'h0000015d,32'h00000075,32'h00009f81);
703
test.u_cmp(32'h7fffff51,32'h00000075,32'h7fffb005);
704
test.u_cmp(32'h000003a8,32'h0000001d,32'h00006a08);
705
test.u_cmp(32'h000001d4,32'h0000001d,32'h00003504);
706
test.u_cmp(32'h00000216,32'h0000006f,32'h0000e78a);
707
test.u_cmp(32'h7ffffef5,32'h0000006f,32'h7fff8c3b);
708
test.u_cmp(32'h0000037a,32'h0000001b,32'h00005dde);
709
test.u_cmp(32'h7ffffe43,32'h0000001b,32'h7fffd111);
710
test.u_cmp(32'h000000de,32'h0000002a,32'h0000246c);
711
test.u_cmp(32'h7fffff91,32'h0000002a,32'hffffedca);
712
test.u_cmp(32'h000006b7,32'h00000035,32'h000163e3);
713
test.u_cmp(32'h7ffffca4,32'h00000035,32'h7fff4df4);
714
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
715
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
716
test.u_cmp(32'h00000755,32'h0000006b,32'h00031087);
717
test.u_cmp(32'h7ffffc55,32'h0000006b,32'h7ffe7787);
718
test.u_cmp(32'h000004aa,32'h00000025,32'h0000ac92);
719
test.u_cmp(32'h00000255,32'h00000025,32'h00005649);
720
test.u_cmp(32'h0000012a,32'h00000009,32'h00000a7a);
721
test.u_cmp(32'h00000095,32'h00000009,32'h0000053d);
722
test.u_cmp(32'h000002b6,32'h0000006a,32'h00011f5c);
723
test.u_cmp(32'h7ffffea5,32'h0000006a,32'hffff7052);
724
test.u_cmp(32'h000001ae,32'h0000005e,32'h00009de4);
725
test.u_cmp(32'h000000d7,32'h0000005e,32'h00004ef2);
726
test.u_cmp(32'h000007ec,32'h00000070,32'h00037740);
727
test.u_cmp(32'h000003f6,32'h00000070,32'h0001bba0);
728
test.u_cmp(32'h00000485,32'h00000024,32'h0000a2b4);
729
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
730
test.u_cmp(32'h000003df,32'h0000004f,32'h000131d1);
731
test.u_cmp(32'h7ffffe10,32'h0000004f,32'h7fff66f0);
732
test.u_cmp(32'h00000588,32'h00000004,32'h00001620);
733
test.u_cmp(32'h000002c4,32'h00000004,32'h00000b10);
734
test.u_cmp(32'h000007e2,32'h00000011,32'h00008602);
735
test.u_cmp(32'h000003f1,32'h00000011,32'h00004301);
736
test.u_cmp(32'h00000588,32'h00000053,32'h0001cb18);
737
test.u_cmp(32'h000002c4,32'h00000053,32'h0000e58c);
738
test.u_cmp(32'h00000062,32'h00000054,32'h00002028);
739
test.u_cmp(32'h00000031,32'h00000054,32'h00001014);
740
test.u_cmp(32'h00000567,32'h0000002b,32'h0000e84d);
741
test.u_cmp(32'h000002b3,32'h0000002b,32'h00007411);
742
test.u_cmp(32'h000003a7,32'h0000004e,32'h00011ce2);
743
test.u_cmp(32'h000001d3,32'h0000004e,32'h00008e4a);
744
test.u_cmp(32'h00000596,32'h0000002c,32'h0000f5c8);
745
test.u_cmp(32'h7ffffd35,32'h0000002c,32'hffff851c);
746
test.u_cmp(32'h0000039b,32'h0000004d,32'h0001159f);
747
test.u_cmp(32'h7ffffe32,32'h0000004d,32'h7fff750a);
748
test.u_cmp(32'h00000767,32'h00000044,32'h0001f75c);
749
test.u_cmp(32'h000003b3,32'h00000044,32'h0000fb8c);
750
test.u_cmp(32'h00000226,32'h0000003f,32'h0000875a);
751
test.u_cmp(32'h00000113,32'h0000003f,32'h000043ad);
752
test.u_cmp(32'h000004f7,32'h00000078,32'h000253c8);
753
test.u_cmp(32'h7ffffd84,32'h00000078,32'hfffed5e0);
754
test.u_cmp(32'h000006be,32'h00000066,32'h0002afb4);
755
test.u_cmp(32'h7ffffca1,32'h00000066,32'hfffea826);
756
test.u_cmp(32'h000004d0,32'h00000026,32'h0000b6e0);
757
test.u_cmp(32'h7ffffd98,32'h00000026,32'hffffa490);
758
test.u_cmp(32'h00000134,32'h00000009,32'h00000ad4);
759
test.u_cmp(32'h7fffff66,32'h00000009,32'h7ffffa96);
760
test.u_cmp(32'h000002b3,32'h0000006a,32'h00011e1e);
761
test.u_cmp(32'h7ffffea6,32'h0000006a,32'hffff70bc);
762
test.u_cmp(32'h000001ad,32'h00000072,32'h0000bf0a);
763
test.u_cmp(32'h000000d6,32'h00000072,32'h00005f4c);
764
test.u_cmp(32'h00000394,32'h0000001c,32'h00006430);
765
test.u_cmp(32'h7ffffe36,32'h0000001c,32'hffffcde8);
766
test.u_cmp(32'h0000021b,32'h0000006f,32'h0000e9b5);
767
test.u_cmp(32'h7ffffef2,32'h0000006f,32'h7fff8aee);
768
test.u_cmp(32'h00000379,32'h00000035,32'h0000b80d);
769
test.u_cmp(32'h7ffffe43,32'h00000035,32'h7fffa3df);
770
test.u_cmp(32'h000004a2,32'h00000076,32'h000222ac);
771
test.u_cmp(32'h00000251,32'h00000076,32'h00011156);
772
test.u_cmp(32'h00000457,32'h0000000e,32'h00003cc2);
773
test.u_cmp(32'h7ffffdd4,32'h0000000e,32'hffffe198);
774
test.u_cmp(32'h0000056b,32'h0000007c,32'h00029fd4);
775
test.u_cmp(32'h000002b5,32'h0000007c,32'h00014fac);
776
test.u_cmp(32'h00000425,32'h00000021,32'h000088c5);
777
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
778
test.u_cmp(32'h00000109,32'h00000008,32'h00000848);
779
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
780
test.u_cmp(32'h00000042,32'h0000002e,32'h00000bdc);
781
test.u_cmp(32'h00000021,32'h0000002e,32'h000005ee);
782
test.u_cmp(32'h00000470,32'h0000005c,32'h00019840);
783
test.u_cmp(32'h00000238,32'h0000005c,32'h0000cc20);
784
test.u_cmp(32'h0000001c,32'h00000051,32'h000008dc);
785
test.u_cmp(32'h7ffffff2,32'h00000051,32'h7ffffb92);
786
test.u_cmp(32'h00000787,32'h00000043,32'h0001f855);
787
test.u_cmp(32'h000003c3,32'h00000043,32'h0000fc09);
788
test.u_cmp(32'h0000021e,32'h00000040,32'h00008780);
789
test.u_cmp(32'h7ffffef1,32'h00000040,32'hffffbc40);
790
test.u_cmp(32'h000004f9,32'h00000078,32'h000254b8);
791
test.u_cmp(32'h7ffffd83,32'h00000078,32'hfffed568);
792
test.u_cmp(32'h00000441,32'h0000000e,32'h00003b8e);
793
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
794
test.u_cmp(32'h00000790,32'h00000014,32'h00009740);
795
test.u_cmp(32'h7ffffc38,32'h00000014,32'hffffb460);
796
test.u_cmp(32'h0000059c,32'h00000053,32'h0001d194);
797
test.u_cmp(32'h7ffffd32,32'h00000053,32'h7fff1736);
798
test.u_cmp(32'h00000299,32'h0000003c,32'h00009bdc);
799
test.u_cmp(32'h7ffffeb3,32'h0000003c,32'hffffb1f4);
800
test.u_cmp(32'h000004da,32'h00000077,32'h00024156);
801
test.u_cmp(32'h7ffffd93,32'h00000077,32'h7ffedf55);
802
test.u_cmp(32'h00000449,32'h00000022,32'h000091b2);
803
test.u_cmp(32'h00000224,32'h00000022,32'h000048c8);
804
test.u_cmp(32'h00000112,32'h00000008,32'h00000890);
805
test.u_cmp(32'h7fffff77,32'h00000008,32'hfffffbb8);
806
test.u_cmp(32'h00000044,32'h0000002e,32'h00000c38);
807
test.u_cmp(32'h00000022,32'h0000002e,32'h0000061c);
808
test.u_cmp(32'h0000046f,32'h00000074,32'h0002024c);
809
test.u_cmp(32'h00000237,32'h00000074,32'h000100ec);
810
test.u_cmp(32'h00000464,32'h0000000d,32'h00003914);
811
test.u_cmp(32'h00000232,32'h0000000d,32'h00001c8a);
812
test.u_cmp(32'h00000799,32'h00000014,32'h000097f4);
813
test.u_cmp(32'h7ffffc33,32'h00000014,32'hffffb3fc);
814
test.u_cmp(32'h0000059a,32'h0000007d,32'h0002bc32);
815
test.u_cmp(32'h7ffffd33,32'h0000007d,32'h7ffea1e7);
816
test.u_cmp(32'h000006e7,32'h00000068,32'h0002cdd8);
817
test.u_cmp(32'h00000373,32'h00000068,32'h000166b8);
818
test.u_cmp(32'h0000063a,32'h0000004e,32'h0001e5ac);
819
test.u_cmp(32'h7ffffce3,32'h0000004e,32'hffff0d2a);
820
test.u_cmp(32'h00000271,32'h00000013,32'h00002e63);
821
test.u_cmp(32'h7ffffec7,32'h00000013,32'h7fffe8c5);
822
test.u_cmp(32'h00000264,32'h0000006c,32'h00010230);
823
test.u_cmp(32'h00000132,32'h0000006c,32'h00008118);
824
test.u_cmp(32'h00000367,32'h0000001b,32'h00005bdd);
825
test.u_cmp(32'h000001b3,32'h0000001b,32'h00002de1);
826
test.u_cmp(32'h00000227,32'h00000042,32'h00008e0e);
827
test.u_cmp(32'h00000113,32'h00000042,32'h000046e6);
828
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
829
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
830
test.u_cmp(32'h0000023d,32'h00000011,32'h0000260d);
831
test.u_cmp(32'h7ffffee1,32'h00000011,32'h7fffecf1);
832
test.u_cmp(32'h0000008f,32'h0000002c,32'h00001894);
833
test.u_cmp(32'h00000047,32'h0000002c,32'h00000c34);
834
test.u_cmp(32'h0000045d,32'h00000073,32'h0001f5c7);
835
test.u_cmp(32'h7ffffdd1,32'h00000073,32'h7fff04e3);
836
test.u_cmp(32'h00000468,32'h0000000d,32'h00003948);
837
test.u_cmp(32'h00000234,32'h0000000d,32'h00001ca4);
838
test.u_cmp(32'h00000566,32'h0000007c,32'h00029d68);
839
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
840
test.u_cmp(32'h00000426,32'h0000000f,32'h00003e3a);
841
test.u_cmp(32'h00000213,32'h0000000f,32'h00001f1d);
842
test.u_cmp(32'h00000577,32'h0000007c,32'h0002a5a4);
843
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
844
test.u_cmp(32'h000006de,32'h00000049,32'h0001f54e);
845
test.u_cmp(32'h7ffffc91,32'h00000049,32'h7fff0559);
846
test.u_cmp(32'h000000b8,32'h0000007a,32'h000057b0);
847
test.u_cmp(32'h7fffffa4,32'h0000007a,32'hffffd428);
848
test.u_cmp(32'h000003d2,32'h0000001e,32'h0000729c);
849
test.u_cmp(32'h7ffffe17,32'h0000001e,32'hffffc6b2);
850
test.u_cmp(32'h0000020c,32'h0000006f,32'h0000e334);
851
test.u_cmp(32'h00000106,32'h0000006f,32'h0000719a);
852
test.u_cmp(32'h0000037d,32'h00000035,32'h0000b8e1);
853
test.u_cmp(32'h7ffffe41,32'h00000035,32'h7fffa375);
854
test.u_cmp(32'h0000065f,32'h0000001e,32'h0000bf22);
855
test.u_cmp(32'h7ffffcd0,32'h0000001e,32'hffffa060);
856
test.u_cmp(32'h00000717,32'h00000038,32'h00018d08);
857
test.u_cmp(32'h7ffffc74,32'h00000038,32'hffff3960);
858
test.u_cmp(32'h000001c5,32'h0000000e,32'h000018c6);
859
test.u_cmp(32'h000000e2,32'h0000000e,32'h00000c5c);
860
test.u_cmp(32'h0000028f,32'h00000045,32'h0000b08b);
861
test.u_cmp(32'h00000147,32'h00000045,32'h00005823);
862
test.u_cmp(32'h000005dc,32'h00000002,32'h00000bb8);
863
test.u_cmp(32'h7ffffd12,32'h00000002,32'hfffffa24);
864
test.u_cmp(32'h00000509,32'h00000079,32'h00026141);
865
test.u_cmp(32'h00000284,32'h00000079,32'h00013064);
866
test.u_cmp(32'h0000043d,32'h00000021,32'h00008bdd);
867
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
868
test.u_cmp(32'h0000010f,32'h00000008,32'h00000878);
869
test.u_cmp(32'h00000087,32'h00000008,32'h00000438);
870
test.u_cmp(32'h000002bd,32'h00000046,32'h0000bfae);
871
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
872
test.u_cmp(32'h00000730,32'h00000046,32'h0001f720);
873
test.u_cmp(32'h00000398,32'h00000046,32'h0000fb90);
874
test.u_cmp(32'h00000234,32'h0000003f,32'h00008acc);
875
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
876
test.u_cmp(32'h0000060d,32'h00000020,32'h0000c1a0);
877
test.u_cmp(32'h00000306,32'h00000020,32'h000060c0);
878
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
879
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
880
test.u_cmp(32'h000005c0,32'h00000052,32'h0001d780);
881
test.u_cmp(32'h7ffffd20,32'h00000052,32'hffff1440);
882
test.u_cmp(32'h00000290,32'h00000014,32'h00003340);
883
test.u_cmp(32'h7ffffeb8,32'h00000014,32'hffffe660);
884
test.u_cmp(32'h000000a4,32'h0000002b,32'h00001b8c);
885
test.u_cmp(32'h00000052,32'h0000002b,32'h00000dc6);
886
test.u_cmp(32'h000006a9,32'h0000001b,32'h0000b3d3);
887
test.u_cmp(32'h00000354,32'h0000001b,32'h000059dc);
888
test.u_cmp(32'h000005d6,32'h00000051,32'h0001d8b6);
889
test.u_cmp(32'h7ffffd15,32'h00000051,32'h7fff13a5);
890
test.u_cmp(32'h00000076,32'h0000007c,32'h00003928);
891
test.u_cmp(32'h7fffffc5,32'h0000007c,32'hffffe36c);
892
test.u_cmp(32'h0000011e,32'h00000059,32'h0000636e);
893
test.u_cmp(32'h7fffff71,32'h00000059,32'h7fffce49);
894
test.u_cmp(32'h000007c8,32'h0000006f,32'h00035fb8);
895
test.u_cmp(32'h000003e4,32'h0000006f,32'h0001afdc);
896
test.u_cmp(32'h00000672,32'h0000004c,32'h0001e9d8);
897
test.u_cmp(32'h7ffffcc7,32'h0000004c,32'hffff0b14);
898
test.u_cmp(32'h00000263,32'h0000003d,32'h00009197);
899
test.u_cmp(32'h00000131,32'h0000003d,32'h000048ad);
900
test.u_cmp(32'h00000618,32'h00000030,32'h00012480);
901
test.u_cmp(32'h7ffffcf4,32'h00000030,32'hffff6dc0);
902
test.u_cmp(32'h00000186,32'h0000000c,32'h00001248);
903
test.u_cmp(32'h000000c3,32'h0000000c,32'h00000924);
904
test.u_cmp(32'h00000061,32'h00000003,32'h00000123);
905
test.u_cmp(32'h00000030,32'h00000003,32'h00000090);
906
test.u_cmp(32'h00000018,32'h00000030,32'h00000480);
907
test.u_cmp(32'h7ffffff4,32'h00000030,32'hfffffdc0);
908
test.u_cmp(32'h0000047a,32'h00000074,32'h00020748);
909
test.u_cmp(32'h7ffffdc3,32'h00000074,32'hfffefc5c);
910
test.u_cmp(32'h00000461,32'h00000023,32'h00009943);
911
test.u_cmp(32'h00000230,32'h00000023,32'h00004c90);
912
test.u_cmp(32'h000003e8,32'h00000050,32'h00013880);
913
test.u_cmp(32'h000001f4,32'h00000050,32'h00009c40);
914
test.u_cmp(32'h00000586,32'h0000002c,32'h0000f308);
915
test.u_cmp(32'h000002c3,32'h0000002c,32'h00007984);
916
test.u_cmp(32'h00000161,32'h0000000b,32'h00000f2b);
917
test.u_cmp(32'h000000b0,32'h0000000b,32'h00000790);
918
test.u_cmp(32'h000002a8,32'h0000006a,32'h00011990);
919
test.u_cmp(32'h00000154,32'h0000006a,32'h00008cc8);
920
test.u_cmp(32'h000001aa,32'h0000005e,32'h00009c6c);
921
test.u_cmp(32'h000000d5,32'h0000005e,32'h00004e36);
922
test.u_cmp(32'h000007eb,32'h00000070,32'h000376d0);
923
test.u_cmp(32'h000003f5,32'h00000070,32'h0001bb30);
924
test.u_cmp(32'h00000485,32'h0000000c,32'h0000363c);
925
test.u_cmp(32'h00000242,32'h0000000c,32'h00001b18);
926
test.u_cmp(32'h0000055f,32'h0000007b,32'h000294a5);
927
test.u_cmp(32'h7ffffd50,32'h0000007b,32'h7ffeb570);
928
test.u_cmp(32'h000006d8,32'h00000067,32'h0002c0e8);
929
test.u_cmp(32'h7ffffc94,32'h00000067,32'h7ffe9f8c);
930
test.u_cmp(32'h000004ca,32'h0000000a,32'h00002fe4);
931
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
932
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
933
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
934
test.u_cmp(32'h000006d4,32'h00000067,32'h0002bf4c);
935
test.u_cmp(32'h7ffffc96,32'h00000067,32'h7ffea05a);
936
test.u_cmp(32'h000004cb,32'h0000000a,32'h00002fee);
937
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
938
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
939
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
940
test.u_cmp(32'h000006d4,32'h00000067,32'h0002bf4c);
941
test.u_cmp(32'h7ffffc96,32'h00000067,32'h7ffea05a);
942
test.u_cmp(32'h000004cb,32'h0000000a,32'h00002fee);
943
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
944
test.u_cmp(32'h0000054e,32'h00000055,32'h0001c2e6);
945
test.u_cmp(32'h000002a7,32'h00000055,32'h0000e173);
946
test.u_cmp(32'h000002ac,32'h0000003b,32'h00009da4);
947
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
948
test.u_cmp(32'h000004d5,32'h00000077,32'h00023f03);
949
test.u_cmp(32'h7ffffd95,32'h00000077,32'h7ffee043);
950
test.u_cmp(32'h000006b6,32'h00000066,32'h0002ac84);
951
test.u_cmp(32'h7ffffca5,32'h00000066,32'hfffea9be);
952
test.u_cmp(32'h000004d2,32'h00000026,32'h0000b72c);
953
test.u_cmp(32'h7ffffd97,32'h00000026,32'hffffa46a);
954
test.u_cmp(32'h000003cc,32'h0000004f,32'h00012bf4);
955
test.u_cmp(32'h000001e6,32'h0000004f,32'h000095fa);
956
test.u_cmp(32'h00000773,32'h00000044,32'h0001fa8c);
957
test.u_cmp(32'h7ffffc46,32'h00000044,32'hffff0298);
958
test.u_cmp(32'h000000dd,32'h00000057,32'h00004b1b);
959
test.u_cmp(32'h7fffff91,32'h00000057,32'h7fffda47);
960
test.u_cmp(32'h00000548,32'h0000002a,32'h0000ddd0);
961
test.u_cmp(32'h000002a4,32'h0000002a,32'h00006ee8);
962
test.u_cmp(32'h00000152,32'h00000026,32'h0000322c);
963
test.u_cmp(32'h7fffff57,32'h00000026,32'hffffe6ea);
964
test.u_cmp(32'h000006d4,32'h00000036,32'h000170b8);
965
test.u_cmp(32'h7ffffc96,32'h00000036,32'hffff47a4);
966
test.u_cmp(32'h0000034b,32'h0000004b,32'h0000f6f9);
967
test.u_cmp(32'h000001a5,32'h0000004b,32'h00007b57);
968
test.u_cmp(32'h00000753,32'h00000045,32'h0001f95f);
969
test.u_cmp(32'h7ffffc56,32'h00000045,32'h7fff032e);
970
test.u_cmp(32'h000000d5,32'h00000057,32'h00004863);
971
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
972
test.u_cmp(32'h0000054a,32'h0000002a,32'h0000de24);
973
test.u_cmp(32'h000002a5,32'h0000002a,32'h00006f12);
974
test.u_cmp(32'h000003ae,32'h0000004e,32'h00011f04);
975
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
976
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
977
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
978
test.u_cmp(32'h00000225,32'h0000003f,32'h0000871b);
979
test.u_cmp(32'h00000112,32'h0000003f,32'h0000436e);
980
test.u_cmp(32'h00000609,32'h00000030,32'h000121b0);
981
test.u_cmp(32'h00000304,32'h00000030,32'h000090c0);
982
test.u_cmp(32'h00000182,32'h0000000c,32'h00001218);
983
test.u_cmp(32'h000000c1,32'h0000000c,32'h0000090c);
984
test.u_cmp(32'h000002a0,32'h00000045,32'h0000b520);
985
test.u_cmp(32'h7ffffeb0,32'h00000045,32'h7fffa570);
986
test.u_cmp(32'h00000728,32'h00000046,32'h0001f4f0);
987
test.u_cmp(32'h00000394,32'h00000046,32'h0000fa78);
988
test.u_cmp(32'h00000236,32'h0000003f,32'h00008b4a);
989
test.u_cmp(32'h7ffffee5,32'h0000003f,32'h7fffba5b);
990
test.u_cmp(32'h000004f3,32'h00000058,32'h0001b388);
991
test.u_cmp(32'h7ffffd86,32'h00000058,32'hffff2610);
992
test.u_cmp(32'h0000003d,32'h00000052,32'h0000138a);
993
test.u_cmp(32'h7fffffe1,32'h00000052,32'hfffff612);
994
test.u_cmp(32'h00000570,32'h0000002b,32'h0000e9d0);
995
test.u_cmp(32'h7ffffd48,32'h0000002b,32'h7fff8b18);
996
test.u_cmp(32'h000003a4,32'h0000004e,32'h00011bf8);
997
test.u_cmp(32'h000001d2,32'h0000004e,32'h00008dfc);
998
test.u_cmp(32'h00000769,32'h00000044,32'h0001f7e4);
999
test.u_cmp(32'h000003b4,32'h00000044,32'h0000fbd0);
1000
test.u_cmp(32'h00000225,32'h0000003f,32'h0000871b);
1001
test.u_cmp(32'h00000112,32'h0000003f,32'h0000436e);
1002
test.u_cmp(32'h000004f7,32'h00000078,32'h000253c8);
1003
test.u_cmp(32'h7ffffd84,32'h00000078,32'hfffed5e0);
1004
test.u_cmp(32'h00000442,32'h00000022,32'h000090c4);
1005
test.u_cmp(32'h00000221,32'h00000022,32'h00004862);
1006
test.u_cmp(32'h00000110,32'h00000008,32'h00000880);
1007
test.u_cmp(32'h7fffff78,32'h00000008,32'hfffffbc0);
1008
test.u_cmp(32'h00000044,32'h00000002,32'h00000088);
1009
test.u_cmp(32'h00000022,32'h00000002,32'h00000044);
1010
test.u_cmp(32'h000002ef,32'h00000068,32'h00013118);
1011
test.u_cmp(32'h00000177,32'h00000068,32'h00009858);
1012
test.u_cmp(32'h00000344,32'h00000036,32'h0000b058);
1013
test.u_cmp(32'h000001a2,32'h00000036,32'h0000582c);
1014
test.u_cmp(32'h00000651,32'h00000032,32'h00013bd2);
1015
test.u_cmp(32'h7ffffcd7,32'h00000032,32'hffff61fe);
1016
test.u_cmp(32'h00000194,32'h0000000c,32'h000012f0);
1017
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
1018
test.u_cmp(32'h00000065,32'h0000002d,32'h000011c1);
1019
test.u_cmp(32'h00000032,32'h0000002d,32'h000008ca);
1020
test.u_cmp(32'h00000699,32'h0000001c,32'h0000b8bc);
1021
test.u_cmp(32'h7ffffcb3,32'h0000001c,32'hffffa394);
1022
test.u_cmp(32'h000005da,32'h00000051,32'h0001d9fa);
1023
test.u_cmp(32'h7ffffd13,32'h00000051,32'h7fff1303);
1024
test.u_cmp(32'h00000289,32'h00000014,32'h000032b4);
1025
test.u_cmp(32'h00000144,32'h00000014,32'h00001950);
1026
test.u_cmp(32'h0000025e,32'h00000043,32'h00009e9a);
1027
test.u_cmp(32'h7ffffed1,32'h00000043,32'h7fffb0b3);
1028
test.u_cmp(32'h00000718,32'h00000069,32'h0002e8d8);
1029
test.u_cmp(32'h7ffffc74,32'h00000069,32'h7ffe8b94);
1030
test.u_cmp(32'h00000646,32'h0000004d,32'h0001e30e);
1031
test.u_cmp(32'h00000323,32'h0000004d,32'h0000f187);
1032
test.u_cmp(32'h0000026e,32'h00000013,32'h00002e2a);
1033
test.u_cmp(32'h00000137,32'h00000013,32'h00001715);
1034
test.u_cmp(32'h0000009b,32'h0000002c,32'h00001aa4);
1035
test.u_cmp(32'h7fffffb2,32'h0000002c,32'hfffff298);
1036
test.u_cmp(32'h0000045a,32'h00000073,32'h0001f46e);
1037
test.u_cmp(32'h7ffffdd3,32'h00000073,32'h7fff05c9);
1038
test.u_cmp(32'h00000697,32'h0000004b,32'h0001ee3d);
1039
test.u_cmp(32'h7ffffcb4,32'h0000004b,32'h7fff08bc);
1040
test.u_cmp(32'h0000025a,32'h0000003e,32'h000091cc);
1041
test.u_cmp(32'h7ffffed3,32'h0000003e,32'hffffb71a);
1042
test.u_cmp(32'h000004ea,32'h00000078,32'h00024db0);
1043
test.u_cmp(32'h00000275,32'h00000078,32'h000126d8);
1044
test.u_cmp(32'h00000445,32'h0000000e,32'h00003bc6);
1045
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
1046
test.u_cmp(32'h0000056f,32'h0000007c,32'h0002a1c4);
1047
test.u_cmp(32'h000002b7,32'h0000007c,32'h000150a4);
1048
test.u_cmp(32'h00000424,32'h00000021,32'h000088a4);
1049
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
1050
test.u_cmp(32'h00000109,32'h00000008,32'h00000848);
1051
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
1052
test.u_cmp(32'h000002be,32'h00000046,32'h0000bff4);
1053
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
1054
test.u_cmp(32'h000005d0,32'h00000002,32'h00000ba0);
1055
test.u_cmp(32'h7ffffd18,32'h00000002,32'hfffffa30);
1056
test.u_cmp(32'h000007f4,32'h0000003f,32'h0001f50c);
1057
test.u_cmp(32'h7ffffc06,32'h0000003f,32'h7fff057a);
1058
test.u_cmp(32'h00000303,32'h00000067,32'h00013635);
1059
test.u_cmp(32'h00000181,32'h00000067,32'h00009ae7);
1060
test.u_cmp(32'h0000033f,32'h00000019,32'h00005127);
1061
test.u_cmp(32'h7ffffe60,32'h00000019,32'h7fffd760);
1062
test.u_cmp(32'h00000231,32'h00000042,32'h000090a2);
1063
test.u_cmp(32'h7ffffee7,32'h00000042,32'hffffb78e);
1064
test.u_cmp(32'h000005f3,32'h00000001,32'h000005f3);
1065
test.u_cmp(32'h7ffffd06,32'h00000001,32'h7ffffd06);
1066
test.u_cmp(32'h00000504,32'h00000057,32'h0001b45c);
1067
test.u_cmp(32'h00000282,32'h00000057,32'h0000da2e);
1068
test.u_cmp(32'h000002bf,32'h00000015,32'h000039ab);
1069
test.u_cmp(32'h7ffffea0,32'h00000015,32'h7fffe320);
1070
test.u_cmp(32'h000000af,32'h0000002b,32'h00001d65);
1071
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
1072
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
1073
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
1074
test.u_cmp(32'h0000046a,32'h00000023,32'h00009a7e);
1075
test.u_cmp(32'h00000235,32'h00000023,32'h00004d3f);
1076
test.u_cmp(32'h0000011a,32'h00000008,32'h000008d0);
1077
test.u_cmp(32'h7fffff73,32'h00000008,32'hfffffb98);
1078
test.u_cmp(32'h00000046,32'h0000002e,32'h00000c94);
1079
test.u_cmp(32'h00000023,32'h0000002e,32'h0000064a);
1080
test.u_cmp(32'h0000046f,32'h0000005c,32'h000197e4);
1081
test.u_cmp(32'h00000237,32'h0000005c,32'h0000cbc4);
1082
test.u_cmp(32'h0000001c,32'h0000007f,32'h00000de4);
1083
test.u_cmp(32'h7ffffff2,32'h0000007f,32'h7ffff90e);
1084
test.u_cmp(32'h00000107,32'h00000077,32'h00007a41);
1085
test.u_cmp(32'h00000083,32'h00000077,32'h00003ce5);
1086
test.u_cmp(32'h00000142,32'h0000005b,32'h00007276);
1087
test.u_cmp(32'h000000a1,32'h0000005b,32'h0000393b);
1088
test.u_cmp(32'h000007d1,32'h00000041,32'h0001fc11);
1089
test.u_cmp(32'h7ffffc17,32'h00000041,32'h7fff01d7);
1090
test.u_cmp(32'h000000f5,32'h00000078,32'h000072d8);
1091
test.u_cmp(32'h7fffff85,32'h00000078,32'hffffc658);
1092
test.u_cmp(32'h0000013e,32'h00000076,32'h00009294);
1093
test.u_cmp(32'h7fffff61,32'h00000076,32'hffffb6b6);
1094
test.u_cmp(32'h00000150,32'h0000005b,32'h00007770);
1095
test.u_cmp(32'h000000a8,32'h0000005b,32'h00003bb8);
1096
test.u_cmp(32'h0000052c,32'h00000029,32'h0000d40c);
1097
test.u_cmp(32'h00000296,32'h00000029,32'h00006a06);
1098
test.u_cmp(32'h000003b5,32'h0000004e,32'h00012126);
1099
test.u_cmp(32'h7ffffe25,32'h0000004e,32'hffff6f46);
1100
test.u_cmp(32'h00000592,32'h00000004,32'h00001648);
1101
test.u_cmp(32'h7ffffd37,32'h00000004,32'hfffff4dc);
1102
test.u_cmp(32'h0000051c,32'h00000079,32'h00026a3c);
1103
test.u_cmp(32'h7ffffd72,32'h00000079,32'h7ffecae2);
1104
test.u_cmp(32'h000006c7,32'h00000049,32'h0001eebf);
1105
test.u_cmp(32'h00000363,32'h00000049,32'h0000f73b);
1106
test.u_cmp(32'h0000024e,32'h00000012,32'h0000297c);
1107
test.u_cmp(32'h00000127,32'h00000012,32'h000014be);
1108
test.u_cmp(32'h0000026d,32'h0000006c,32'h000105fc);
1109
test.u_cmp(32'h00000136,32'h0000006c,32'h000082c8);
1110
test.u_cmp(32'h0000019c,32'h00000073,32'h0000b914);
1111
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
1112
test.u_cmp(32'h00000167,32'h0000005c,32'h00008104);
1113
test.u_cmp(32'h000000b3,32'h0000005c,32'h00004054);
1114
test.u_cmp(32'h00000526,32'h00000029,32'h0000d316);
1115
test.u_cmp(32'h00000293,32'h00000029,32'h0000698b);
1116
test.u_cmp(32'h00000149,32'h0000000a,32'h00000cda);
1117
test.u_cmp(32'h000000a4,32'h0000000a,32'h00000668);
1118
test.u_cmp(32'h00000052,32'h0000002e,32'h00000ebc);
1119
test.u_cmp(32'h7fffffd7,32'h0000002e,32'hfffff8a2);
1120
test.u_cmp(32'h00000694,32'h00000034,32'h00015610);
1121
test.u_cmp(32'h7ffffcb6,32'h00000034,32'hffff54f8);
1122
test.u_cmp(32'h0000035b,32'h0000004b,32'h0000fba9);
1123
test.u_cmp(32'h7ffffe52,32'h0000004b,32'h7fff8206);
1124
test.u_cmp(32'h00000757,32'h00000045,32'h0001fa73);
1125
test.u_cmp(32'h7ffffc54,32'h00000045,32'h7fff02a4);
1126
test.u_cmp(32'h0000022a,32'h00000011,32'h000024ca);
1127
test.u_cmp(32'h00000115,32'h00000011,32'h00001265);
1128
test.u_cmp(32'h00000276,32'h0000006c,32'h000109c8);
1129
test.u_cmp(32'h7ffffec5,32'h0000006c,32'hffff7b1c);
1130
test.u_cmp(32'h0000019e,32'h0000005d,32'h00009666);
1131
test.u_cmp(32'h7fffff31,32'h0000005d,32'h7fffb4cd);
1132
test.u_cmp(32'h000007e8,32'h00000040,32'h0001fa00);
1133
test.u_cmp(32'h000003f4,32'h00000040,32'h0000fd00);
1134
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
1135
test.u_cmp(32'h00000103,32'h00000010,32'h00001030);
1136
test.u_cmp(32'h0000027f,32'h0000006c,32'h00010d94);
1137
test.u_cmp(32'h7ffffec0,32'h0000006c,32'hffff7900);
1138
test.u_cmp(32'h00000360,32'h0000001b,32'h00005b20);
1139
test.u_cmp(32'h000001b0,32'h0000001b,32'h00002d90);
1140
test.u_cmp(32'h00000228,32'h00000042,32'h00008e50);
1141
test.u_cmp(32'h00000114,32'h00000042,32'h00004728);
1142
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
1143
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
1144
test.u_cmp(32'h0000023d,32'h0000003f,32'h00008d03);
1145
test.u_cmp(32'h7ffffee1,32'h0000003f,32'h7fffb95f);
1146
test.u_cmp(32'h000004f1,32'h00000078,32'h000250f8);
1147
test.u_cmp(32'h7ffffd87,32'h00000078,32'hfffed748);
1148
test.u_cmp(32'h00000443,32'h00000022,32'h000090e6);
1149
test.u_cmp(32'h00000221,32'h00000022,32'h00004862);
1150
test.u_cmp(32'h000003f0,32'h00000050,32'h00013b00);
1151
test.u_cmp(32'h000001f8,32'h00000050,32'h00009d80);
1152
test.u_cmp(32'h00000584,32'h0000002c,32'h0000f2b0);
1153
test.u_cmp(32'h000002c2,32'h0000002c,32'h00007958);
1154
test.u_cmp(32'h00000161,32'h0000000b,32'h00000f2b);
1155
test.u_cmp(32'h000000b0,32'h0000000b,32'h00000790);
1156
test.u_cmp(32'h000002a8,32'h0000006a,32'h00011990);
1157
test.u_cmp(32'h00000154,32'h0000006a,32'h00008cc8);
1158
test.u_cmp(32'h00000356,32'h0000001a,32'h000056bc);
1159
test.u_cmp(32'h7ffffe55,32'h0000001a,32'hffffd4a2);
1160
test.u_cmp(32'h000000d5,32'h00000006,32'h000004fe);
1161
test.u_cmp(32'h7fffff95,32'h00000006,32'hfffffd7e);
1162
test.u_cmp(32'h00000035,32'h00000001,32'h00000035);
1163
test.u_cmp(32'h7fffffe5,32'h00000001,32'h7fffffe5);
1164
test.u_cmp(32'h000002f3,32'h00000068,32'h000132b8);
1165
test.u_cmp(32'h7ffffe86,32'h00000068,32'hffff6670);
1166
test.u_cmp(32'h00000343,32'h00000036,32'h0000b022);
1167
test.u_cmp(32'h000001a1,32'h00000036,32'h000057f6);
1168
test.u_cmp(32'h00000650,32'h0000001e,32'h0000bd60);
1169
test.u_cmp(32'h7ffffcd8,32'h0000001e,32'hffffa150);
1170
test.u_cmp(32'h00000714,32'h00000038,32'h00018c60);
1171
test.u_cmp(32'h7ffffc76,32'h00000038,32'hffff39d0);
1172
test.u_cmp(32'h000001c5,32'h0000000e,32'h000018c6);
1173
test.u_cmp(32'h000000e2,32'h0000000e,32'h00000c5c);
1174
test.u_cmp(32'h00000071,32'h0000002d,32'h000013dd);
1175
test.u_cmp(32'h7fffffc7,32'h0000002d,32'h7ffff5fb);
1176
test.u_cmp(32'h00000464,32'h00000074,32'h0001fd50);
1177
test.u_cmp(32'h00000232,32'h00000074,32'h0000fea8);
1178
test.u_cmp(32'h00000699,32'h00000065,32'h00029a5d);
1179
test.u_cmp(32'h7ffffcb3,32'h00000065,32'h7ffeb29f);
1180
test.u_cmp(32'h000004d9,32'h0000000a,32'h0000307a);
1181
test.u_cmp(32'h7ffffd93,32'h0000000a,32'hffffe7be);
1182
test.u_cmp(32'h0000054a,32'h00000055,32'h0001c192);
1183
test.u_cmp(32'h000002a5,32'h00000055,32'h0000e0c9);
1184
test.u_cmp(32'h000002ad,32'h0000003b,32'h00009ddf);
1185
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
1186
test.u_cmp(32'h000004d5,32'h00000059,32'h0001ae0d);
1187
test.u_cmp(32'h7ffffd95,32'h00000059,32'h7fff28cd);
1188
test.u_cmp(32'h00000036,32'h00000052,32'h0000114c);
1189
test.u_cmp(32'h7fffffe5,32'h00000052,32'hfffff75a);
1190
test.u_cmp(32'h0000078e,32'h0000006d,32'h00033776);
1191
test.u_cmp(32'h000003c7,32'h0000006d,32'h00019bbb);
1192
test.u_cmp(32'h00000664,32'h0000004c,32'h0001e5b0);
1193
test.u_cmp(32'h00000332,32'h0000004c,32'h0000f2d8);
1194
test.u_cmp(32'h00000267,32'h0000003d,32'h0000928b);
1195
test.u_cmp(32'h00000133,32'h0000003d,32'h00004927);
1196
test.u_cmp(32'h000004e7,32'h00000078,32'h00024c48);
1197
test.u_cmp(32'h00000273,32'h00000078,32'h000125e8);
1198
test.u_cmp(32'h000006ba,32'h0000004a,32'h0001f1c4);
1199
test.u_cmp(32'h7ffffca3,32'h0000004a,32'hffff071e);
1200
test.u_cmp(32'h000000af,32'h0000007a,32'h00005366);
1201
test.u_cmp(32'h00000057,32'h0000007a,32'h00002976);
1202
test.u_cmp(32'h000003d4,32'h0000001e,32'h000072d8);
1203
test.u_cmp(32'h7ffffe16,32'h0000001e,32'hffffc694);
1204
test.u_cmp(32'h0000020b,32'h0000006f,32'h0000e2c5);
1205
test.u_cmp(32'h00000105,32'h0000006f,32'h0000712b);
1206
test.u_cmp(32'h0000037d,32'h00000035,32'h0000b8e1);
1207
test.u_cmp(32'h7ffffe41,32'h00000035,32'h7fffa375);
1208
test.u_cmp(32'h0000065f,32'h00000032,32'h00013e8e);
1209
test.u_cmp(32'h7ffffcd0,32'h00000032,32'hffff60a0);
1210
test.u_cmp(32'h00000369,32'h0000004c,32'h0001032c);
1211
test.u_cmp(32'h000001b4,32'h0000004c,32'h00008170);
1212
test.u_cmp(32'h000005a5,32'h0000002d,32'h0000fe01);
1213
test.u_cmp(32'h000002d2,32'h0000002d,32'h00007eea);
1214
test.u_cmp(32'h00000169,32'h00000025,32'h0000342d);
1215
test.u_cmp(32'h000000b4,32'h00000025,32'h00001a04);
1216
test.u_cmp(32'h000006da,32'h0000001a,32'h0000b224);
1217
test.u_cmp(32'h7ffffc93,32'h0000001a,32'hffffa6ee);
1218
test.u_cmp(32'h000005ca,32'h0000007f,32'h0002df36);
1219
test.u_cmp(32'h000002e5,32'h0000007f,32'h00016f9b);
1220
test.u_cmp(32'h0000040d,32'h00000010,32'h000040d0);
1221
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
1222
test.u_cmp(32'h0000057d,32'h0000007c,32'h0002a88c);
1223
test.u_cmp(32'h7ffffd41,32'h0000007c,32'hfffeab7c);
1224
test.u_cmp(32'h000006e0,32'h00000049,32'h0001f5e0);
1225
test.u_cmp(32'h7ffffc90,32'h00000049,32'h7fff0510);
1226
test.u_cmp(32'h00000248,32'h0000003e,32'h00008d70);
1227
test.u_cmp(32'h00000124,32'h0000003e,32'h000046b8);
1228
test.u_cmp(32'h000004ee,32'h00000058,32'h0001b1d0);
1229
test.u_cmp(32'h00000277,32'h00000058,32'h0000d8e8);
1230
test.u_cmp(32'h0000003c,32'h0000007e,32'h00001d88);
1231
test.u_cmp(32'h7fffffe2,32'h0000007e,32'hfffff13c);
1232
test.u_cmp(32'h0000010f,32'h00000077,32'h00007df9);
1233
test.u_cmp(32'h00000087,32'h00000077,32'h00003ec1);
1234
test.u_cmp(32'h000003bc,32'h00000033,32'h0000be74);
1235
test.u_cmp(32'h7ffffe22,32'h00000033,32'h7fffa0c6);
1236
test.u_cmp(32'h00000491,32'h00000075,32'h00021645);
1237
test.u_cmp(32'h7ffffdb7,32'h00000075,32'h7ffef4a3);
1238
test.u_cmp(32'h0000045b,32'h00000022,32'h00009416);
1239
test.u_cmp(32'h7ffffdd2,32'h00000022,32'hffffb5e4);
1240
test.u_cmp(32'h000003ea,32'h00000060,32'h000177c0);
1241
test.u_cmp(32'h000001f5,32'h00000060,32'h0000bbe0);
1242
test.u_cmp(32'h00000305,32'h00000038,32'h0000a918);
1243
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
1244
test.u_cmp(32'h00000641,32'h00000032,32'h000138b2);
1245
test.u_cmp(32'h00000320,32'h00000032,32'h00009c40);
1246
test.u_cmp(32'h00000190,32'h0000000c,32'h000012c0);
1247
test.u_cmp(32'h7fffff38,32'h0000000c,32'hfffff6a0);
1248
test.u_cmp(32'h00000064,32'h00000003,32'h0000012c);
1249
test.u_cmp(32'h00000032,32'h00000003,32'h00000096);
1250
test.u_cmp(32'h000002e7,32'h00000048,32'h0000d0f8);
1251
test.u_cmp(32'h00000173,32'h00000048,32'h00006858);
1252
test.u_cmp(32'h0000073a,32'h00000046,32'h0001f9dc);
1253
test.u_cmp(32'h7ffffc63,32'h00000046,32'hffff0312);
1254
test.u_cmp(32'h00000231,32'h00000011,32'h00002541);
1255
test.u_cmp(32'h7ffffee7,32'h00000011,32'h7fffed57);
1256
test.u_cmp(32'h0000008c,32'h0000002c,32'h00001810);
1257
test.u_cmp(32'h00000046,32'h0000002c,32'h00000c08);
1258
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
1259
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
1260
test.u_cmp(32'h00000728,32'h00000039,32'h000197e8);
1261
test.u_cmp(32'h00000394,32'h00000039,32'h0000cbf4);
1262
test.u_cmp(32'h00000336,32'h00000066,32'h00014784);
1263
test.u_cmp(32'h7ffffe65,32'h00000066,32'hffff5c3e);
1264
test.u_cmp(32'h00000332,32'h00000037,32'h0000afbe);
1265
test.u_cmp(32'h7ffffe67,32'h00000037,32'h7fffa821);
1266
test.u_cmp(32'h000004b4,32'h00000076,32'h00022af8);
1267
test.u_cmp(32'h7ffffda6,32'h00000076,32'hfffeea84);
1268
test.u_cmp(32'h000006ad,32'h00000066,32'h0002a8ee);
1269
test.u_cmp(32'h00000356,32'h00000066,32'h00015444);
1270
test.u_cmp(32'h0000062c,32'h0000004e,32'h0001e168);
1271
test.u_cmp(32'h00000316,32'h0000004e,32'h0000f0b4);
1272
test.u_cmp(32'h00000275,32'h00000013,32'h00002eaf);
1273
test.u_cmp(32'h7ffffec5,32'h00000013,32'h7fffe89f);
1274
test.u_cmp(32'h00000263,32'h0000006c,32'h000101c4);
1275
test.u_cmp(32'h00000131,32'h0000006c,32'h000080ac);
1276
test.u_cmp(32'h00000367,32'h0000001b,32'h00005bdd);
1277
test.u_cmp(32'h000001b3,32'h0000001b,32'h00002de1);
1278
test.u_cmp(32'h000000d9,32'h0000002a,32'h0000239a);
1279
test.u_cmp(32'h7fffff93,32'h0000002a,32'hffffee1e);
1280
test.u_cmp(32'h000006b6,32'h00000035,32'h000163ae);
1281
test.u_cmp(32'h7ffffca5,32'h00000035,32'h7fff4e29);
1282
test.u_cmp(32'h000001ad,32'h0000000d,32'h000015c9);
1283
test.u_cmp(32'h000000d6,32'h0000000d,32'h00000ade);
1284
test.u_cmp(32'h00000295,32'h00000045,32'h0000b229);
1285
test.u_cmp(32'h7ffffeb5,32'h00000045,32'h7fffa6c9);
1286
test.u_cmp(32'h000005da,32'h0000002e,32'h00010d2c);
1287
test.u_cmp(32'h7ffffd13,32'h0000002e,32'hffff796a);
1288
test.u_cmp(32'h00000176,32'h00000025,32'h0000360e);
1289
test.u_cmp(32'h7fffff45,32'h00000025,32'h7fffe4f9);
1290
test.u_cmp(32'h000006dd,32'h00000036,32'h0001729e);
1291
test.u_cmp(32'h7ffffc91,32'h00000036,32'hffff4696);
1292
test.u_cmp(32'h000001b7,32'h00000023,32'h00003c05);
1293
test.u_cmp(32'h7fffff24,32'h00000023,32'h7fffe1ec);
1294
test.u_cmp(32'h00000413,32'h0000005f,32'h0001830d);
1295
test.u_cmp(32'h7ffffdf6,32'h0000005f,32'h7fff3e4a);
1296
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
1297
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
1298
test.u_cmp(32'h0000063e,32'h0000001f,32'h0000c182);
1299
test.u_cmp(32'h7ffffce1,32'h0000001f,32'h7fff9f3f);
1300
test.u_cmp(32'h000005f1,32'h00000080,32'h0002f880);
1301
test.u_cmp(32'h7ffffd07,32'h00000080,32'hfffe8380);
1302
test.u_cmp(32'h00000403,32'h00000010,32'h00004030);
1303
test.u_cmp(32'h00000201,32'h00000010,32'h00002010);
1304
test.u_cmp(32'h00000580,32'h00000054,32'h0001ce00);
1305
test.u_cmp(32'h7ffffd40,32'h00000054,32'hffff1900);
1306
test.u_cmp(32'h00000060,32'h00000053,32'h00001f20);
1307
test.u_cmp(32'h7fffffd0,32'h00000053,32'h7ffff070);
1308
test.u_cmp(32'h00000568,32'h0000002b,32'h0000e878);
1309
test.u_cmp(32'h000002b4,32'h0000002b,32'h0000743c);
1310
test.u_cmp(32'h000003a6,32'h00000062,32'h0001658c);
1311
test.u_cmp(32'h000001d3,32'h00000062,32'h0000b2c6);
1312
test.u_cmp(32'h00000316,32'h00000038,32'h0000acd0);
1313
test.u_cmp(32'h7ffffe75,32'h00000038,32'hffffa998);
1314
test.u_cmp(32'h00000645,32'h0000001e,32'h0000bc16);
1315
test.u_cmp(32'h00000322,32'h0000001e,32'h00005dfc);
1316
test.u_cmp(32'h000005ef,32'h00000050,32'h0001dab0);
1317
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
1318
test.u_cmp(32'h0000007c,32'h00000054,32'h000028b0);
1319
test.u_cmp(32'h7fffffc2,32'h00000054,32'hffffeba8);
1320
test.u_cmp(32'h00000561,32'h00000005,32'h00001ae5);
1321
test.u_cmp(32'h000002b0,32'h00000005,32'h00000d70);
1322
test.u_cmp(32'h000007d8,32'h00000012,32'h00008d30);
1323
test.u_cmp(32'h7ffffc14,32'h00000012,32'hffffb968);
1324
test.u_cmp(32'h00000776,32'h0000003b,32'h0001b832);
1325
test.u_cmp(32'h7ffffc45,32'h0000003b,32'h7fff23e7);
1326
test.u_cmp(32'h000001dd,32'h0000000e,32'h00001a16);
1327
test.u_cmp(32'h7fffff11,32'h0000000e,32'hfffff2ee);
1328
test.u_cmp(32'h00000077,32'h00000003,32'h00000165);
1329
test.u_cmp(32'h7fffffc4,32'h00000003,32'h7fffff4c);
1330
test.u_cmp(32'h0000001d,32'h00000000,32'h00000000);
1331
test.u_cmp(32'h7ffffff1,32'h00000000,32'h00000000);
1332
test.u_cmp(32'h000002f9,32'h00000048,32'h0000d608);
1333
test.u_cmp(32'h7ffffe83,32'h00000048,32'hffff94d8);
1334
test.u_cmp(32'h000005c1,32'h00000002,32'h00000b82);
1335
test.u_cmp(32'h000002e0,32'h00000002,32'h000005c0);
1336
test.u_cmp(32'h00000510,32'h00000057,32'h0001b870);
1337
test.u_cmp(32'h00000288,32'h00000057,32'h0000dc38);
1338
test.u_cmp(32'h000002bc,32'h00000015,32'h0000396c);
1339
test.u_cmp(32'h7ffffea2,32'h00000015,32'h7fffe34a);
1340
test.u_cmp(32'h000000af,32'h0000002b,32'h00001d65);
1341
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
1342
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
1343
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
1344
test.u_cmp(32'h00000696,32'h00000065,32'h0002992e);
1345
test.u_cmp(32'h7ffffcb5,32'h00000065,32'h7ffeb369);
1346
test.u_cmp(32'h000004da,32'h0000000a,32'h00003084);
1347
test.u_cmp(32'h7ffffd93,32'h0000000a,32'hffffe7be);
1348
test.u_cmp(32'h0000054a,32'h00000055,32'h0001c192);
1349
test.u_cmp(32'h000002a5,32'h00000055,32'h0000e0c9);
1350
test.u_cmp(32'h00000053,32'h0000007d,32'h00002887);
1351
test.u_cmp(32'h7fffffd6,32'h0000007d,32'h7fffeb7e);
1352
test.u_cmp(32'h000003eb,32'h00000031,32'h0000bffb);
1353
test.u_cmp(32'h000001f5,32'h00000031,32'h00005fe5);
1354
test.u_cmp(32'h0000067a,32'h0000001d,32'h0000bbd2);
1355
test.u_cmp(32'h7ffffcc3,32'h0000001d,32'h7fffa217);
1356
test.u_cmp(32'h000005e2,32'h00000080,32'h0002f100);
1357
test.u_cmp(32'h000002f1,32'h00000080,32'h00017880);
1358
test.u_cmp(32'h000006f9,32'h00000068,32'h0002d528);
1359
test.u_cmp(32'h7ffffc83,32'h00000068,32'hfffe9538);
1360
test.u_cmp(32'h0000063f,32'h0000004e,32'h0001e732);
1361
test.u_cmp(32'h7ffffce0,32'h0000004e,32'hffff0c40);
1362
test.u_cmp(32'h00000090,32'h0000007b,32'h00004530);
1363
test.u_cmp(32'h00000048,32'h0000007b,32'h00002298);
1364
test.u_cmp(32'h00000124,32'h0000005a,32'h000066a8);
1365
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
1366
test.u_cmp(32'h00000537,32'h00000029,32'h0000d5cf);
1367
test.u_cmp(32'h7ffffd64,32'h00000029,32'h7fff9504);
1368
test.u_cmp(32'h000003b3,32'h0000004e,32'h0001208a);
1369
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
1370
test.u_cmp(32'h00000593,32'h00000004,32'h0000164c);
1371
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
1372
test.u_cmp(32'h0000051c,32'h00000057,32'h0001bc84);
1373
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
1374
test.u_cmp(32'h00000047,32'h00000053,32'h00001705);
1375
test.u_cmp(32'h00000023,32'h00000053,32'h00000b59);
1376
test.u_cmp(32'h0000056e,32'h0000002b,32'h0000e97a);
1377
test.u_cmp(32'h000002b7,32'h0000002b,32'h000074bd);
1378
test.u_cmp(32'h000003a5,32'h00000062,32'h0001652a);
1379
test.u_cmp(32'h000001d2,32'h00000062,32'h0000b264);
1380
test.u_cmp(32'h000001ea,32'h00000070,32'h0000d660);
1381
test.u_cmp(32'h000000f5,32'h00000070,32'h00006b30);
1382
test.u_cmp(32'h00000385,32'h0000001c,32'h0000628c);
1383
test.u_cmp(32'h000001c2,32'h0000001c,32'h00003138);
1384
test.u_cmp(32'h000000e1,32'h00000007,32'h00000627);
1385
test.u_cmp(32'h00000070,32'h00000007,32'h00000310);
1386
test.u_cmp(32'h00000038,32'h0000002f,32'h00000a48);
1387
test.u_cmp(32'h7fffffe4,32'h0000002f,32'h7ffffadc);
1388
test.u_cmp(32'h0000068e,32'h0000001c,32'h0000b788);
1389
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
1390
test.u_cmp(32'h000005dd,32'h00000051,32'h0001daed);
1391
test.u_cmp(32'h7ffffd11,32'h00000051,32'h7fff1261);
1392
test.u_cmp(32'h00000078,32'h00000054,32'h00002760);
1393
test.u_cmp(32'h7fffffc4,32'h00000054,32'hffffec50);
1394
test.u_cmp(32'h0000079e,32'h00000043,32'h0001fe5a);
1395
test.u_cmp(32'h7ffffc31,32'h00000043,32'h7fff00d3);
1396
test.u_cmp(32'h00000218,32'h00000010,32'h00002180);
1397
test.u_cmp(32'h7ffffef4,32'h00000010,32'hffffef40);
1398
test.u_cmp(32'h0000027a,32'h0000006c,32'h00010b78);
1399
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
1400
test.u_cmp(32'h0000019f,32'h0000005d,32'h000096c3);
1401
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
1402
test.u_cmp(32'h00000518,32'h00000008,32'h000028c0);
1403
test.u_cmp(32'h7ffffd74,32'h00000008,32'hffffeba0);
1404
test.u_cmp(32'h0000053a,32'h00000056,32'h0001c17c);
1405
test.u_cmp(32'h7ffffd63,32'h00000056,32'hffff1f42);
1406
test.u_cmp(32'h000002b1,32'h00000015,32'h00003885);
1407
test.u_cmp(32'h7ffffea7,32'h00000015,32'h7fffe3b3);
1408
test.u_cmp(32'h000000ac,32'h00000005,32'h0000035c);
1409
test.u_cmp(32'h00000056,32'h00000005,32'h000001ae);
1410
test.u_cmp(32'h000002d5,32'h00000069,32'h0001295d);
1411
test.u_cmp(32'h7ffffe95,32'h00000069,32'h7fff6b1d);
1412
test.u_cmp(32'h000001b6,32'h0000005e,32'h0000a0d4);
1413
test.u_cmp(32'h7fffff25,32'h0000005e,32'hffffaf96);
1414
test.u_cmp(32'h000007ee,32'h00000070,32'h00037820);
1415
test.u_cmp(32'h000003f7,32'h00000070,32'h0001bc10);
1416
test.u_cmp(32'h00000484,32'h00000024,32'h0000a290);
1417
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
1418
test.u_cmp(32'h00000121,32'h00000009,32'h00000a29);
1419
test.u_cmp(32'h00000090,32'h00000009,32'h00000510);
1420
test.u_cmp(32'h000002b8,32'h0000006a,32'h00012030);
1421
test.u_cmp(32'h7ffffea4,32'h0000006a,32'hffff6fe8);
1422
test.u_cmp(32'h00000352,32'h0000001a,32'h00005654);
1423
test.u_cmp(32'h7ffffe57,32'h0000001a,32'hffffd4d6);
1424
test.u_cmp(32'h000000d4,32'h00000006,32'h000004f8);
1425
test.u_cmp(32'h7fffff96,32'h00000006,32'hfffffd84);
1426
test.u_cmp(32'h000002cb,32'h00000069,32'h00012543);
1427
test.u_cmp(32'h00000165,32'h00000069,32'h0000926d);
1428
test.u_cmp(32'h0000034d,32'h0000001a,32'h000055d2);
1429
test.u_cmp(32'h000001a6,32'h0000001a,32'h00002adc);
1430
test.u_cmp(32'h000000d3,32'h0000002a,32'h0000229e);
1431
test.u_cmp(32'h7fffff96,32'h0000002a,32'hffffee9c);
1432
test.u_cmp(32'h000006b4,32'h00000035,32'h00016344);
1433
test.u_cmp(32'h7ffffca6,32'h00000035,32'h7fff4e5e);
1434
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
1435
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
1436
test.u_cmp(32'h000005ab,32'h0000002d,32'h0000ff0f);
1437
test.u_cmp(32'h000002d5,32'h0000002d,32'h00007f71);
1438
test.u_cmp(32'h0000016a,32'h00000025,32'h00003452);
1439
test.u_cmp(32'h000000b5,32'h00000025,32'h00001a29);
1440
test.u_cmp(32'h00000426,32'h00000072,32'h0001d8ec);
1441
test.u_cmp(32'h00000213,32'h00000072,32'h0000ec76);
1442
test.u_cmp(32'h0000068a,32'h00000065,32'h00029472);
1443
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
1444
test.u_cmp(32'h000004dd,32'h00000026,32'h0000b8ce);
1445
test.u_cmp(32'h7ffffd91,32'h00000026,32'hffffa386);
1446
test.u_cmp(32'h00000137,32'h00000027,32'h00002f61);
1447
test.u_cmp(32'h7fffff64,32'h00000027,32'h7fffe83c);
1448
test.u_cmp(32'h00000433,32'h0000005e,32'h00018aba);
1449
test.u_cmp(32'h7ffffde6,32'h0000005e,32'hffff3a74);
1450
test.u_cmp(32'h000002f3,32'h00000039,32'h0000a81b);
1451
test.u_cmp(32'h7ffffe86,32'h00000039,32'h7fffabd6);
1452
test.u_cmp(32'h0000063c,32'h00000031,32'h0001317c);
1453
test.u_cmp(32'h7ffffce2,32'h00000031,32'h7fff6742);
1454
test.u_cmp(32'h0000018f,32'h00000024,32'h0000381c);
1455
test.u_cmp(32'h000000c7,32'h00000024,32'h00001bfc);
1456
test.u_cmp(32'h0000041d,32'h0000005f,32'h000186c3);
1457
test.u_cmp(32'h7ffffdf1,32'h0000005f,32'h7fff3c6f);
1458
test.u_cmp(32'h00000008,32'h00000051,32'h00000288);
1459
test.u_cmp(32'h00000004,32'h00000051,32'h00000144);
1460
test.u_cmp(32'h0000057e,32'h0000002b,32'h0000ec2a);
1461
test.u_cmp(32'h7ffffd41,32'h0000002b,32'h7fff89eb);
1462
test.u_cmp(32'h000003a1,32'h0000004e,32'h00011b0e);
1463
test.u_cmp(32'h000001d0,32'h0000004e,32'h00008d60);
1464
test.u_cmp(32'h00000597,32'h00000004,32'h0000165c);
1465
test.u_cmp(32'h7ffffd34,32'h00000004,32'hfffff4d0);
1466
test.u_cmp(32'h0000051b,32'h00000057,32'h0001bc2d);
1467
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
1468
test.u_cmp(32'h00000047,32'h0000007d,32'h000022ab);
1469
test.u_cmp(32'h00000023,32'h0000007d,32'h00001117);
1470
test.u_cmp(32'h000003ee,32'h00000031,32'h0000c08e);
1471
test.u_cmp(32'h000001f7,32'h00000031,32'h00006047);
1472
test.u_cmp(32'h0000067b,32'h00000033,32'h00014a81);
1473
test.u_cmp(32'h7ffffcc2,32'h00000033,32'h7fff5aa6);
1474
test.u_cmp(32'h0000019e,32'h00000024,32'h00003a38);
1475
test.u_cmp(32'h7fffff31,32'h00000024,32'hffffe2e4);
1476
test.u_cmp(32'h000006e7,32'h00000019,32'h0000ac8f);
1477
test.u_cmp(32'h00000373,32'h00000019,32'h0000563b);
1478
test.u_cmp(32'h00000739,32'h00000039,32'h00019bb1);
1479
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
1480
test.u_cmp(32'h000001ce,32'h0000000e,32'h00001944);
1481
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
1482
test.u_cmp(32'h0000028d,32'h0000006b,32'h000110ef);
1483
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
1484
test.u_cmp(32'h000001a4,32'h00000072,32'h0000bb08);
1485
test.u_cmp(32'h000000d2,32'h00000072,32'h00005d84);
1486
test.u_cmp(32'h00000169,32'h00000074,32'h0000a394);
1487
test.u_cmp(32'h000000b4,32'h00000074,32'h00005190);
1488
test.u_cmp(32'h000003a5,32'h00000033,32'h0000b9df);
1489
test.u_cmp(32'h000001d2,32'h00000033,32'h00005cd6);
1490
test.u_cmp(32'h00000497,32'h00000075,32'h00021903);
1491
test.u_cmp(32'h7ffffdb4,32'h00000075,32'h7ffef344);
1492
test.u_cmp(32'h0000045a,32'h0000000e,32'h00003cec);
1493
test.u_cmp(32'h7ffffdd3,32'h0000000e,32'hffffe18a);
1494
test.u_cmp(32'h00000796,32'h0000003c,32'h0001c728);
1495
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
1496
test.u_cmp(32'h0000031b,32'h00000067,32'h00013fdd);
1497
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
1498
test.u_cmp(32'h000001c7,32'h00000071,32'h0000c8d7);
1499
test.u_cmp(32'h000000e3,32'h00000071,32'h00006433);
1500
test.u_cmp(32'h0000038e,32'h0000001c,32'h00006388);
1501
test.u_cmp(32'h000001c7,32'h0000001c,32'h000031c4);
1502
test.u_cmp(32'h0000021d,32'h0000006f,32'h0000ea93);
1503
test.u_cmp(32'h7ffffef1,32'h0000006f,32'h7fff8a7f);
1504
test.u_cmp(32'h00000188,32'h0000005d,32'h00008e68);
1505
test.u_cmp(32'h000000c4,32'h0000005d,32'h00004734);
1506
test.u_cmp(32'h000007e2,32'h00000040,32'h0001f880);
1507
test.u_cmp(32'h000003f1,32'h00000040,32'h0000fc40);
1508
test.u_cmp(32'h000000f9,32'h00000078,32'h000074b8);
1509
test.u_cmp(32'h7fffff83,32'h00000078,32'hffffc568);
1510
test.u_cmp(32'h000003c1,32'h00000032,32'h0000bbb2);
1511
test.u_cmp(32'h000001e0,32'h00000032,32'h00005dc0);
1512
test.u_cmp(32'h00000490,32'h00000075,32'h000215d0);
1513
test.u_cmp(32'h00000248,32'h00000075,32'h00010ae8);
1514
test.u_cmp(32'h0000045c,32'h00000022,32'h00009438);
1515
test.u_cmp(32'h7ffffdd2,32'h00000022,32'hffffb5e4);
1516
test.u_cmp(32'h000003e9,32'h00000060,32'h00017760);
1517
test.u_cmp(32'h000001f4,32'h00000060,32'h0000bb80);
1518
test.u_cmp(32'h00000305,32'h00000038,32'h0000a918);
1519
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
1520
test.u_cmp(32'h000004bf,32'h00000076,32'h0002300a);
1521
test.u_cmp(32'h7ffffda0,32'h00000076,32'hfffee7c0);
1522
test.u_cmp(32'h00000450,32'h0000000e,32'h00003c60);
1523
test.u_cmp(32'h7ffffdd8,32'h0000000e,32'hffffe1d0);
1524
test.u_cmp(32'h00000794,32'h0000003c,32'h0001c6b0);
1525
test.u_cmp(32'h7ffffc36,32'h0000003c,32'hffff1ca8);
1526
test.u_cmp(32'h000001e5,32'h0000000f,32'h00001c6b);
1527
test.u_cmp(32'h000000f2,32'h0000000f,32'h00000e2e);
1528
test.u_cmp(32'h00000287,32'h0000006b,32'h00010e6d);
1529
test.u_cmp(32'h00000143,32'h0000006b,32'h00008701);
1530
test.u_cmp(32'h000001a2,32'h00000072,32'h0000ba24);
1531
test.u_cmp(32'h000000d1,32'h00000072,32'h00005d12);
1532
test.u_cmp(32'h00000397,32'h0000001c,32'h00006484);
1533
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
1534
test.u_cmp(32'h000000e5,32'h00000007,32'h00000643);
1535
test.u_cmp(32'h00000072,32'h00000007,32'h0000031e);
1536
test.u_cmp(32'h00000039,32'h0000002f,32'h00000a77);
1537
test.u_cmp(32'h7fffffe3,32'h0000002f,32'h7ffffaad);
1538
test.u_cmp(32'h0000068e,32'h0000001c,32'h0000b788);
1539
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
1540
test.u_cmp(32'h000005dd,32'h00000051,32'h0001daed);
1541
test.u_cmp(32'h7ffffd11,32'h00000051,32'h7fff1261);
1542
test.u_cmp(32'h00000288,32'h00000014,32'h000032a0);
1543
test.u_cmp(32'h00000144,32'h00000014,32'h00001950);
1544
test.u_cmp(32'h000000a2,32'h00000005,32'h0000032a);
1545
test.u_cmp(32'h00000051,32'h00000005,32'h00000195);
1546
test.u_cmp(32'h00000028,32'h0000002f,32'h00000758);
1547
test.u_cmp(32'h00000014,32'h0000002f,32'h000003ac);
1548
test.u_cmp(32'h00000476,32'h0000005c,32'h00019a68);
1549
test.u_cmp(32'h7ffffdc5,32'h0000005c,32'hffff32cc);
1550
test.u_cmp(32'h000002e2,32'h00000017,32'h0000424e);
1551
test.u_cmp(32'h00000171,32'h00000017,32'h00002127);
1552
test.u_cmp(32'h00000248,32'h0000006d,32'h0000f8a8);
1553
test.u_cmp(32'h00000124,32'h0000006d,32'h00007c54);
1554
test.u_cmp(32'h00000192,32'h00000073,32'h0000b496);
1555
test.u_cmp(32'h7fffff37,32'h00000073,32'h7fffa5b5);
1556
test.u_cmp(32'h0000039b,32'h00000034,32'h0000bb7c);
1557
test.u_cmp(32'h7ffffe32,32'h00000034,32'hffffa228);
1558
test.u_cmp(32'h0000049a,32'h00000075,32'h00021a62);
1559
test.u_cmp(32'h7ffffdb3,32'h00000075,32'h7ffef2cf);
1560
test.u_cmp(32'h00000459,32'h0000000e,32'h00003cde);
1561
test.u_cmp(32'h7ffffdd3,32'h0000000e,32'hffffe18a);
1562
test.u_cmp(32'h00000796,32'h0000003c,32'h0001c728);
1563
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
1564
test.u_cmp(32'h000001e5,32'h0000000f,32'h00001c6b);
1565
test.u_cmp(32'h000000f2,32'h0000000f,32'h00000e2e);
1566
test.u_cmp(32'h00000287,32'h00000045,32'h0000ae63);
1567
test.u_cmp(32'h00000143,32'h00000045,32'h0000570f);
1568
test.u_cmp(32'h00000722,32'h0000006a,32'h0002f414);
1569
test.u_cmp(32'h00000391,32'h0000006a,32'h00017a0a);
1570
test.u_cmp(32'h000004b7,32'h00000025,32'h0000ae73);
1571
test.u_cmp(32'h7ffffda4,32'h00000025,32'h7fffa8b4);
1572
test.u_cmp(32'h000003d3,32'h0000004f,32'h00012e1d);
1573
test.u_cmp(32'h7ffffe16,32'h0000004f,32'h7fff68ca);
1574
test.u_cmp(32'h00000775,32'h0000006c,32'h0003255c);
1575
test.u_cmp(32'h7ffffc45,32'h0000006c,32'hfffe6d1c);
1576
test.u_cmp(32'h000004a2,32'h0000000b,32'h000032f6);
1577
test.u_cmp(32'h00000251,32'h0000000b,32'h0000197b);
1578
test.u_cmp(32'h000007a8,32'h0000003d,32'h0001d308);
1579
test.u_cmp(32'h000003d4,32'h0000003d,32'h0000e984);
1580
test.u_cmp(32'h00000316,32'h00000067,32'h00013dda);
1581
test.u_cmp(32'h7ffffe75,32'h00000067,32'h7fff6113);
1582
test.u_cmp(32'h0000033a,32'h00000019,32'h000050aa);
1583
test.u_cmp(32'h7ffffe63,32'h00000019,32'h7fffd7ab);
1584
test.u_cmp(32'h00000232,32'h0000006e,32'h0000f17c);
1585
test.u_cmp(32'h7ffffee7,32'h0000006e,32'hffff8742);
1586
test.u_cmp(32'h00000373,32'h00000035,32'h0000b6cf);
1587
test.u_cmp(32'h7ffffe46,32'h00000035,32'h7fffa47e);
1588
test.u_cmp(32'h000004a4,32'h00000076,32'h00022398);
1589
test.u_cmp(32'h00000252,32'h00000076,32'h000111cc);
1590
test.u_cmp(32'h00000457,32'h0000000e,32'h00003cc2);
1591
test.u_cmp(32'h7ffffdd4,32'h0000000e,32'hffffe198);
1592
test.u_cmp(32'h00000795,32'h00000014,32'h000097a4);
1593
test.u_cmp(32'h7ffffc35,32'h00000014,32'hffffb424);
1594
test.u_cmp(32'h0000059b,32'h00000053,32'h0001d141);
1595
test.u_cmp(32'h7ffffd32,32'h00000053,32'h7fff1736);
1596
test.u_cmp(32'h00000067,32'h00000054,32'h000021cc);
1597
test.u_cmp(32'h00000033,32'h00000054,32'h000010bc);
1598
test.u_cmp(32'h00000566,32'h0000002b,32'h0000e822);
1599
test.u_cmp(32'h000002b3,32'h0000002b,32'h00007411);
1600
test.u_cmp(32'h00000159,32'h0000000a,32'h00000d7a);
1601
test.u_cmp(32'h7fffff53,32'h0000000a,32'hfffff93e);
1602
test.u_cmp(32'h000002aa,32'h00000046,32'h0000ba7c);
1603
test.u_cmp(32'h00000155,32'h00000046,32'h00005d3e);
1604
test.u_cmp(32'h000005d5,32'h0000002e,32'h00010c46);
1605
test.u_cmp(32'h7ffffd15,32'h0000002e,32'hffff79c6);
1606
test.u_cmp(32'h0000038b,32'h00000063,32'h00015ec1);
1607
test.u_cmp(32'h000001c5,32'h00000063,32'h0000af2f);
1608
test.u_cmp(32'h000001e3,32'h00000060,32'h0000b520);
1609
test.u_cmp(32'h000000f1,32'h00000060,32'h00005a60);
1610
test.u_cmp(32'h000007f9,32'h00000040,32'h0001fe40);
1611
test.u_cmp(32'h7ffffc03,32'h00000040,32'hffff00c0);
1612
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
1613
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
1614
test.u_cmp(32'h000003c0,32'h00000032,32'h0000bb80);
1615
test.u_cmp(32'h000001e0,32'h00000032,32'h00005dc0);
1616
test.u_cmp(32'h00000490,32'h0000005b,32'h00019f30);
1617
test.u_cmp(32'h00000248,32'h0000005b,32'h0000cf98);
1618
test.u_cmp(32'h000002dc,32'h00000016,32'h00003ee8);
1619
test.u_cmp(32'h7ffffe92,32'h00000016,32'hffffe08c);
1620
test.u_cmp(32'h00000249,32'h0000006d,32'h0000f915);
1621
test.u_cmp(32'h00000124,32'h0000006d,32'h00007c54);
1622
test.u_cmp(32'h0000036d,32'h0000001b,32'h00005c7f);
1623
test.u_cmp(32'h000001b6,32'h0000001b,32'h00002e32);
1624
test.u_cmp(32'h00000225,32'h0000006e,32'h0000ebe6);
1625
test.u_cmp(32'h00000112,32'h0000006e,32'h000075bc);
1626
test.u_cmp(32'h00000376,32'h00000035,32'h0000b76e);
1627
test.u_cmp(32'h7ffffe45,32'h00000035,32'h7fffa449);
1628
test.u_cmp(32'h000004a3,32'h0000005a,32'h0001a14e);
1629
test.u_cmp(32'h00000251,32'h0000005a,32'h0000d07a);
1630
test.u_cmp(32'h000002d7,32'h0000003a,32'h0000a4b6);
1631
test.u_cmp(32'h7ffffe94,32'h0000003a,32'hffffad88);
1632
test.u_cmp(32'h00000635,32'h0000001f,32'h0000c06b);
1633
test.u_cmp(32'h7ffffce5,32'h0000001f,32'h7fff9fbb);
1634
test.u_cmp(32'h000005f3,32'h00000080,32'h0002f980);
1635
test.u_cmp(32'h7ffffd06,32'h00000080,32'hfffe8300);
1636
test.u_cmp(32'h000006fd,32'h00000068,32'h0002d6c8);
1637
test.u_cmp(32'h7ffffc81,32'h00000068,32'hfffe9468);
1638
test.u_cmp(32'h00000640,32'h00000062,32'h00026480);
1639
test.u_cmp(32'h7ffffce0,32'h00000062,32'hfffecdc0);
1640
test.u_cmp(32'h00000610,32'h0000004f,32'h0001def0);
1641
test.u_cmp(32'h00000308,32'h0000004f,32'h0000ef78);
1642
test.u_cmp(32'h00000084,32'h0000007b,32'h00003f6c);
1643
test.u_cmp(32'h00000042,32'h0000007b,32'h00001fb6);
1644
test.u_cmp(32'h000003df,32'h00000032,32'h0000c18e);
1645
test.u_cmp(32'h7ffffe10,32'h00000032,32'hffff9f20);
1646
test.u_cmp(32'h00000677,32'h00000033,32'h000149b5);
1647
test.u_cmp(32'h7ffffcc4,32'h00000033,32'h7fff5b0c);
1648
test.u_cmp(32'h00000363,32'h00000064,32'h000152ac);
1649
test.u_cmp(32'h000001b1,32'h00000064,32'h0000a924);
1650
test.u_cmp(32'h00000327,32'h00000037,32'h0000ad61);
1651
test.u_cmp(32'h00000193,32'h00000037,32'h00005695);
1652
test.u_cmp(32'h00000649,32'h0000001e,32'h0000bc8e);
1653
test.u_cmp(32'h00000324,32'h0000001e,32'h00005e38);
1654
test.u_cmp(32'h000005ee,32'h00000050,32'h0001da60);
1655
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
1656
test.u_cmp(32'h00000284,32'h0000003c,32'h000096f0);
1657
test.u_cmp(32'h00000142,32'h0000003c,32'h00004b78);
1658
test.u_cmp(32'h00000621,32'h00000031,32'h00012c51);
1659
test.u_cmp(32'h00000310,32'h00000031,32'h00009610);
1660
test.u_cmp(32'h00000188,32'h0000000c,32'h00001260);
1661
test.u_cmp(32'h000000c4,32'h0000000c,32'h00000930);
1662
test.u_cmp(32'h00000062,32'h00000003,32'h00000126);
1663
test.u_cmp(32'h00000031,32'h00000003,32'h00000093);
1664
test.u_cmp(32'h00000018,32'h00000030,32'h00000480);
1665
test.u_cmp(32'h7ffffff4,32'h00000030,32'hfffffdc0);
1666
test.u_cmp(32'h00000686,32'h0000001c,32'h0000b6a8);
1667
test.u_cmp(32'h00000343,32'h0000001c,32'h00005b54);
1668
test.u_cmp(32'h00000721,32'h00000017,32'h0000a3f7);
1669
test.u_cmp(32'h00000390,32'h00000017,32'h000051f0);
1670
test.u_cmp(32'h000005b8,32'h00000052,32'h0001d4f0);
1671
test.u_cmp(32'h7ffffd24,32'h00000052,32'hffff1588);
1672
test.u_cmp(32'h0000006e,32'h0000007c,32'h00003548);
1673
test.u_cmp(32'h00000037,32'h0000007c,32'h00001aa4);
1674
test.u_cmp(32'h000003e4,32'h0000001f,32'h0000789c);
1675
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
1676
test.u_cmp(32'h00000207,32'h00000041,32'h000083c7);
1677
test.u_cmp(32'h00000103,32'h00000041,32'h000041c3);
1678
test.u_cmp(32'h00000702,32'h00000047,32'h0001f18e);
1679
test.u_cmp(32'h00000381,32'h00000047,32'h0000f8c7);
1680
test.u_cmp(32'h0000023f,32'h00000011,32'h0000262f);
1681
test.u_cmp(32'h7ffffee0,32'h00000011,32'h7fffece0);
1682
test.u_cmp(32'h0000008f,32'h00000004,32'h0000023c);
1683
test.u_cmp(32'h00000047,32'h00000004,32'h0000011c);
1684
test.u_cmp(32'h000002dd,32'h00000047,32'h0000cb4b);
1685
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
1686
test.u_cmp(32'h00000738,32'h0000006a,32'h0002fd30);
1687
test.u_cmp(32'h7ffffc64,32'h0000006a,32'hfffe8168);
1688
test.u_cmp(32'h000004b2,32'h0000000b,32'h000033a6);
1689
test.u_cmp(32'h7ffffda7,32'h0000000b,32'h7fffe62d);
1690
test.u_cmp(32'h00000554,32'h0000007b,32'h00028f5c);
1691
test.u_cmp(32'h7ffffd56,32'h0000007b,32'h7ffeb852);
1692
test.u_cmp(32'h000006d5,32'h00000067,32'h0002bfb3);
1693
test.u_cmp(32'h7ffffc95,32'h00000067,32'h7ffe9ff3);
1694
test.u_cmp(32'h000004ca,32'h00000026,32'h0000b5fc);
1695
test.u_cmp(32'h00000265,32'h00000026,32'h00005afe);
1696
test.u_cmp(32'h00000132,32'h00000027,32'h00002e9e);
1697
test.u_cmp(32'h7fffff67,32'h00000027,32'h7fffe8b1);
1698
test.u_cmp(32'h00000434,32'h00000072,32'h0001df28);
1699
test.u_cmp(32'h7ffffde6,32'h00000072,32'hffff106c);
1700
test.u_cmp(32'h00000473,32'h0000000d,32'h000039d7);
1701
test.u_cmp(32'h7ffffdc6,32'h0000000d,32'h7fffe30e);
1702
test.u_cmp(32'h0000079c,32'h00000014,32'h00009830);
1703
test.u_cmp(32'h7ffffc32,32'h00000014,32'hffffb3e8);
1704
test.u_cmp(32'h00000767,32'h00000015,32'h00009b73);
1705
test.u_cmp(32'h000003b3,32'h00000015,32'h00004daf);
1706
test.u_cmp(32'h00000759,32'h0000003a,32'h0001aa2a);
1707
test.u_cmp(32'h7ffffc53,32'h0000003a,32'hffff2ace);
1708
test.u_cmp(32'h000001d6,32'h0000000e,32'h000019b4);
1709
test.u_cmp(32'h7fffff15,32'h0000000e,32'hfffff326);
1710
test.u_cmp(32'h0000028b,32'h00000045,32'h0000af77);
1711
test.u_cmp(32'h00000145,32'h00000045,32'h00005799);
1712
test.u_cmp(32'h00000723,32'h00000046,32'h0001f392);
1713
test.u_cmp(32'h00000391,32'h00000046,32'h0000f9a6);
1714
test.u_cmp(32'h000000c9,32'h00000057,32'h0000444f);
1715
test.u_cmp(32'h00000064,32'h00000057,32'h000021fc);
1716
test.u_cmp(32'h0000054d,32'h0000002a,32'h0000dea2);
1717
test.u_cmp(32'h000002a6,32'h0000002a,32'h00006f3c);
1718
test.u_cmp(32'h000003ad,32'h0000004e,32'h00011eb6);
1719
test.u_cmp(32'h000001d6,32'h0000004e,32'h00008f34);
1720
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
1721
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
1722
test.u_cmp(32'h000000db,32'h00000057,32'h00004a6d);
1723
test.u_cmp(32'h7fffff92,32'h00000057,32'h7fffda9e);
1724
test.u_cmp(32'h000007b7,32'h00000042,32'h0001fd2e);
1725
test.u_cmp(32'h7ffffc24,32'h00000042,32'hffff0148);
1726
test.u_cmp(32'h000000ee,32'h00000078,32'h00006f90);
1727
test.u_cmp(32'h00000077,32'h00000078,32'h000037c8);
1728
test.u_cmp(32'h000003c4,32'h0000001e,32'h000070f8);
1729
test.u_cmp(32'h000001e2,32'h0000001e,32'h0000387c);
1730
test.u_cmp(32'h0000020f,32'h0000006f,32'h0000e481);
1731
test.u_cmp(32'h00000107,32'h0000006f,32'h00007209);
1732
test.u_cmp(32'h0000037c,32'h00000035,32'h0000b8ac);
1733
test.u_cmp(32'h7ffffe42,32'h00000035,32'h7fffa3aa);
1734
test.u_cmp(32'h000004a1,32'h0000005a,32'h0001a09a);
1735
test.u_cmp(32'h00000250,32'h0000005a,32'h0000d020);
1736
test.u_cmp(32'h00000029,32'h0000007e,32'h0000142e);
1737
test.u_cmp(32'h00000014,32'h0000007e,32'h000009d8);
1738
test.u_cmp(32'h000003f5,32'h00000031,32'h0000c1e5);
1739
test.u_cmp(32'h7ffffe05,32'h00000031,32'h7fff9ef5);
1740
test.u_cmp(32'h0000067d,32'h00000033,32'h00014ae7);
1741
test.u_cmp(32'h7ffffcc1,32'h00000033,32'h7fff5a73);
1742
test.u_cmp(32'h0000019f,32'h00000024,32'h00003a5c);
1743
test.u_cmp(32'h7fffff30,32'h00000024,32'hffffe2c0);
1744
test.u_cmp(32'h00000419,32'h0000005f,32'h00018547);
1745
test.u_cmp(32'h7ffffdf3,32'h0000005f,32'h7fff3d2d);
1746
test.u_cmp(32'h000002f9,32'h00000017,32'h0000445f);
1747
test.u_cmp(32'h7ffffe83,32'h00000017,32'h7fffddc5);
1748
test.u_cmp(32'h00000242,32'h00000043,32'h00009746);
1749
test.u_cmp(32'h00000121,32'h00000043,32'h00004ba3);
1750
test.u_cmp(32'h00000711,32'h00000047,32'h0001f5b7);
1751
test.u_cmp(32'h7ffffc77,32'h00000047,32'h7fff0501);
1752
test.u_cmp(32'h0000023b,32'h00000011,32'h000025eb);
1753
test.u_cmp(32'h7ffffee2,32'h00000011,32'h7fffed02);
1754
test.u_cmp(32'h0000008e,32'h0000002c,32'h00001868);
1755
test.u_cmp(32'h00000047,32'h0000002c,32'h00000c34);
1756
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
1757
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
1758
test.u_cmp(32'h00000728,32'h00000017,32'h0000a498);
1759
test.u_cmp(32'h00000394,32'h00000017,32'h0000524c);
1760
test.u_cmp(32'h000005b6,32'h00000052,32'h0001d44c);
1761
test.u_cmp(32'h7ffffd25,32'h00000052,32'hffff15da);
1762
test.u_cmp(32'h00000292,32'h0000003c,32'h00009a38);
1763
test.u_cmp(32'h7ffffeb7,32'h0000003c,32'hffffb2e4);
1764
test.u_cmp(32'h00000624,32'h0000001f,32'h0000be5c);
1765
test.u_cmp(32'h00000312,32'h0000001f,32'h00005f2e);
1766
test.u_cmp(32'h00000709,32'h00000018,32'h0000a8d8);
1767
test.u_cmp(32'h00000384,32'h00000018,32'h00005460);
1768
test.u_cmp(32'h000005be,32'h0000007e,32'h0002d384);
1769
test.u_cmp(32'h7ffffd21,32'h0000007e,32'hfffe963e);
1770
test.u_cmp(32'h00000410,32'h00000010,32'h00004100);
1771
test.u_cmp(32'h7ffffdf8,32'h00000010,32'hffffdf80);
1772
test.u_cmp(32'h00000784,32'h00000014,32'h00009650);
1773
test.u_cmp(32'h000003c2,32'h00000014,32'h00004b28);
1774
test.u_cmp(32'h00000761,32'h0000003b,32'h0001b35b);
1775
test.u_cmp(32'h000003b0,32'h0000003b,32'h0000d990);
1776
test.u_cmp(32'h00000328,32'h00000066,32'h000141f0);
1777
test.u_cmp(32'h00000194,32'h00000066,32'h0000a0f8);
1778
test.u_cmp(32'h000001ca,32'h0000005f,32'h0000a9f6);
1779
test.u_cmp(32'h000000e5,32'h0000005f,32'h000054fb);
1780
test.u_cmp(32'h000007f3,32'h00000070,32'h00037a50);
1781
test.u_cmp(32'h7ffffc06,32'h00000070,32'hfffe42a0);
1782
test.u_cmp(32'h00000483,32'h00000024,32'h0000a26c);
1783
test.u_cmp(32'h00000241,32'h00000024,32'h00005124);
1784
test.u_cmp(32'h000003e0,32'h0000004f,32'h00013220);
1785
test.u_cmp(32'h7ffffe10,32'h0000004f,32'h7fff66f0);
1786
test.u_cmp(32'h00000778,32'h00000044,32'h0001fbe0);
1787
test.u_cmp(32'h7ffffc44,32'h00000044,32'hffff0210);
1788
test.u_cmp(32'h00000222,32'h00000011,32'h00002442);
1789
test.u_cmp(32'h00000111,32'h00000011,32'h00001221);
1790
test.u_cmp(32'h00000278,32'h00000044,32'h0000a7e0);
1791
test.u_cmp(32'h7ffffec4,32'h00000044,32'hffffac10);
1792
test.u_cmp(32'h0000071e,32'h00000069,32'h0002eb4e);
1793
test.u_cmp(32'h7ffffc71,32'h00000069,32'h7ffe8a59);
1794
test.u_cmp(32'h00000648,32'h0000004d,32'h0001e3a8);
1795
test.u_cmp(32'h00000324,32'h0000004d,32'h0000f1d4);
1796
test.u_cmp(32'h0000026e,32'h00000013,32'h00002e2a);
1797
test.u_cmp(32'h00000137,32'h00000013,32'h00001715);
1798
test.u_cmp(32'h0000009b,32'h00000004,32'h0000026c);
1799
test.u_cmp(32'h7fffffb2,32'h00000004,32'hfffffec8);
1800
test.u_cmp(32'h000002da,32'h00000069,32'h00012b6a);
1801
test.u_cmp(32'h7ffffe93,32'h00000069,32'h7fff6a4b);
1802
test.u_cmp(32'h00000349,32'h00000036,32'h0000b166);
1803
test.u_cmp(32'h000001a4,32'h00000036,32'h00005898);
1804
test.u_cmp(32'h00000652,32'h00000032,32'h00013c04);
1805
test.u_cmp(32'h7ffffcd7,32'h00000032,32'hffff61fe);
1806
test.u_cmp(32'h00000194,32'h0000000c,32'h000012f0);
1807
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
1808
test.u_cmp(32'h00000065,32'h00000003,32'h0000012f);
1809
test.u_cmp(32'h00000032,32'h00000003,32'h00000096);
1810
test.u_cmp(32'h000002e7,32'h00000048,32'h0000d0f8);
1811
test.u_cmp(32'h00000173,32'h00000048,32'h00006858);
1812
test.u_cmp(32'h0000073a,32'h0000006a,32'h0002fe04);
1813
test.u_cmp(32'h7ffffc63,32'h0000006a,32'hfffe80fe);
1814
test.u_cmp(32'h000004b1,32'h00000025,32'h0000ad95);
1815
test.u_cmp(32'h7ffffda7,32'h00000025,32'h7fffa923);
1816
test.u_cmp(32'h0000012c,32'h00000009,32'h00000a8c);
1817
test.u_cmp(32'h00000096,32'h00000009,32'h00000546);
1818
test.u_cmp(32'h000002b5,32'h0000006a,32'h00011ef2);
1819
test.u_cmp(32'h7ffffea5,32'h0000006a,32'hffff7052);
1820
test.u_cmp(32'h000001ae,32'h0000005e,32'h00009de4);
1821
test.u_cmp(32'h000000d7,32'h0000005e,32'h00004ef2);
1822
test.u_cmp(32'h00000514,32'h00000008,32'h000028a0);
1823
test.u_cmp(32'h7ffffd76,32'h00000008,32'hffffebb0);
1824
test.u_cmp(32'h0000053b,32'h0000007a,32'h00027e1e);
1825
test.u_cmp(32'h7ffffd62,32'h0000007a,32'hfffec0b4);
1826
test.u_cmp(32'h00000431,32'h00000021,32'h00008a51);
1827
test.u_cmp(32'h7ffffde7,32'h00000021,32'h7fffbac7);
1828
test.u_cmp(32'h0000010c,32'h00000028,32'h000029e0);
1829
test.u_cmp(32'h00000086,32'h00000028,32'h000014f0);
1830
test.u_cmp(32'h0000043d,32'h0000005e,32'h00018e66);
1831
test.u_cmp(32'h7ffffde1,32'h0000005e,32'hffff389e);
1832
test.u_cmp(32'h000002f0,32'h00000017,32'h00004390);
1833
test.u_cmp(32'h7ffffe88,32'h00000017,32'h7fffde38);
1834
test.u_cmp(32'h00000244,32'h0000006d,32'h0000f6f4);
1835
test.u_cmp(32'h00000122,32'h0000006d,32'h00007b7a);
1836
test.u_cmp(32'h0000036f,32'h0000001b,32'h00005cb5);
1837
test.u_cmp(32'h000001b7,32'h0000001b,32'h00002e4d);
1838
test.u_cmp(32'h000000db,32'h0000002a,32'h000023ee);
1839
test.u_cmp(32'h7fffff92,32'h0000002a,32'hffffedf4);
1840
test.u_cmp(32'h000006b6,32'h00000035,32'h000163ae);
1841
test.u_cmp(32'h7ffffca5,32'h00000035,32'h7fff4e29);
1842
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
1843
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
1844
test.u_cmp(32'h00000755,32'h00000045,32'h0001f9e9);
1845
test.u_cmp(32'h7ffffc55,32'h00000045,32'h7fff02e9);
1846
test.u_cmp(32'h0000022a,32'h0000003f,32'h00008856);
1847
test.u_cmp(32'h00000115,32'h0000003f,32'h0000442b);
1848
test.u_cmp(32'h000004f6,32'h00000078,32'h00025350);
1849
test.u_cmp(32'h7ffffd85,32'h00000078,32'hfffed658);
1850
test.u_cmp(32'h000006be,32'h0000004a,32'h0001f2ec);
1851
test.u_cmp(32'h7ffffca1,32'h0000004a,32'hffff068a);
1852
test.u_cmp(32'h000000b0,32'h00000056,32'h00003b20);
1853
test.u_cmp(32'h00000058,32'h00000056,32'h00001d90);
1854
test.u_cmp(32'h000007ac,32'h0000006e,32'h00034be8);
1855
test.u_cmp(32'h000003d6,32'h0000006e,32'h0001a5f4);
1856
test.u_cmp(32'h0000066b,32'h0000004c,32'h0001e7c4);
1857
test.u_cmp(32'h00000335,32'h0000004c,32'h0000f3bc);
1858
test.u_cmp(32'h0000009b,32'h0000007b,32'h00004a79);
1859
test.u_cmp(32'h7fffffb2,32'h0000007b,32'h7fffda86);
1860
test.u_cmp(32'h00000127,32'h0000005a,32'h000067b6);
1861
test.u_cmp(32'h00000093,32'h0000005a,32'h000033ae);
1862
test.u_cmp(32'h00000536,32'h00000007,32'h0000247a);
1863
test.u_cmp(32'h7ffffd65,32'h00000007,32'h7fffedc3);
1864
test.u_cmp(32'h00000533,32'h00000056,32'h0001bf22);
1865
test.u_cmp(32'h7ffffd66,32'h00000056,32'hffff2044);
1866
test.u_cmp(32'h0000004d,32'h00000053,32'h000018f7);
1867
test.u_cmp(32'h00000026,32'h00000053,32'h00000c52);
1868
test.u_cmp(32'h0000056c,32'h0000002b,32'h0000e924);
1869
test.u_cmp(32'h000002b6,32'h0000002b,32'h00007492);
1870
test.u_cmp(32'h000003a5,32'h0000004e,32'h00011c46);
1871
test.u_cmp(32'h000001d2,32'h0000004e,32'h00008dfc);
1872
test.u_cmp(32'h00000596,32'h0000002c,32'h0000f5c8);
1873
test.u_cmp(32'h7ffffd35,32'h0000002c,32'hffff851c);
1874
test.u_cmp(32'h00000165,32'h0000000b,32'h00000f57);
1875
test.u_cmp(32'h000000b2,32'h0000000b,32'h000007a6);
1876
test.u_cmp(32'h00000059,32'h0000002e,32'h00000ffe);
1877
test.u_cmp(32'h7fffffd3,32'h0000002e,32'hfffff7ea);
1878
test.u_cmp(32'h0000046a,32'h0000005c,32'h00019618);
1879
test.u_cmp(32'h00000235,32'h0000005c,32'h0000cb0c);
1880
test.u_cmp(32'h000002e5,32'h00000039,32'h0000a4fd);
1881
test.u_cmp(32'h00000172,32'h00000039,32'h00005262);
1882
test.u_cmp(32'h000004c7,32'h00000077,32'h00023881);
1883
test.u_cmp(32'h00000263,32'h00000077,32'h00011c05);
1884
test.u_cmp(32'h0000044e,32'h0000000e,32'h00003c44);
1885
test.u_cmp(32'h00000227,32'h0000000e,32'h00001e22);
1886
test.u_cmp(32'h0000056d,32'h00000054,32'h0001c7c4);
1887
test.u_cmp(32'h000002b6,32'h00000054,32'h0000e3b8);
1888
test.u_cmp(32'h0000005c,32'h00000053,32'h00001dd4);
1889
test.u_cmp(32'h7fffffd2,32'h00000053,32'h7ffff116);
1890
test.u_cmp(32'h00000797,32'h00000043,32'h0001fc85);
1891
test.u_cmp(32'h7ffffc34,32'h00000043,32'h7fff019c);
1892
test.u_cmp(32'h000000e6,32'h00000058,32'h00004f10);
1893
test.u_cmp(32'h00000073,32'h00000058,32'h00002788);
1894
test.u_cmp(32'h00000546,32'h00000006,32'h00001fa4);
1895
test.u_cmp(32'h000002a3,32'h00000006,32'h00000fd2);
1896
test.u_cmp(32'h0000052f,32'h00000056,32'h0001bdca);
1897
test.u_cmp(32'h00000297,32'h00000056,32'h0000deba);
1898
test.u_cmp(32'h000002b4,32'h00000015,32'h000038c4);
1899
test.u_cmp(32'h7ffffea6,32'h00000015,32'h7fffe39e);
1900
test.u_cmp(32'h00000253,32'h0000006d,32'h0000fd57);
1901
test.u_cmp(32'h7ffffed6,32'h0000006d,32'h7fff811e);
1902
test.u_cmp(32'h0000036b,32'h00000035,32'h0000b527);
1903
test.u_cmp(32'h000001b5,32'h00000035,32'h00005a79);
1904
test.u_cmp(32'h000004a6,32'h00000076,32'h00022484);
1905
test.u_cmp(32'h00000253,32'h00000076,32'h00011242);
1906
test.u_cmp(32'h00000456,32'h0000000e,32'h00003cb4);
1907
test.u_cmp(32'h7ffffdd5,32'h0000000e,32'hffffe1a6);
1908
test.u_cmp(32'h00000795,32'h0000003c,32'h0001c6ec);
1909
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
1910
test.u_cmp(32'h000001e5,32'h00000021,32'h00003e85);
1911
test.u_cmp(32'h000000f2,32'h00000021,32'h00001f32);
1912
test.u_cmp(32'h00000407,32'h00000071,32'h0001c717);
1913
test.u_cmp(32'h00000203,32'h00000071,32'h0000e353);
1914
test.u_cmp(32'h0000047e,32'h00000023,32'h00009d3a);
1915
test.u_cmp(32'h7ffffdc1,32'h00000023,32'h7fffb163);
1916
test.u_cmp(32'h000003e1,32'h00000060,32'h00017460);
1917
test.u_cmp(32'h000001f0,32'h00000060,32'h0000ba00);
1918
test.u_cmp(32'h00000307,32'h00000018,32'h000048a8);
1919
test.u_cmp(32'h00000183,32'h00000018,32'h00002448);
1920
test.u_cmp(32'h000000c1,32'h0000002a,32'h00001faa);
1921
test.u_cmp(32'h00000060,32'h0000002a,32'h00000fc0);
1922
test.u_cmp(32'h00000450,32'h0000005d,32'h00019110);
1923
test.u_cmp(32'h00000228,32'h0000005d,32'h0000c888);
1924
test.u_cmp(32'h00000014,32'h00000051,32'h00000654);
1925
test.u_cmp(32'h7ffffff6,32'h00000051,32'h7ffffcd6);
1926
test.u_cmp(32'h0000057b,32'h0000002b,32'h0000eba9);
1927
test.u_cmp(32'h7ffffd42,32'h0000002b,32'h7fff8a16);
1928
test.u_cmp(32'h000003a2,32'h00000062,32'h00016404);
1929
test.u_cmp(32'h000001d1,32'h00000062,32'h0000b202);
1930
test.u_cmp(32'h00000317,32'h00000038,32'h0000ad08);
1931
test.u_cmp(32'h7ffffe74,32'h00000038,32'hffffa960);
1932
test.u_cmp(32'h000004bb,32'h0000005a,32'h0001a9be);
1933
test.u_cmp(32'h7ffffda2,32'h0000005a,32'hffff2af4);
1934
test.u_cmp(32'h000002d1,32'h00000016,32'h00003df6);
1935
test.u_cmp(32'h7ffffe97,32'h00000016,32'hffffe0fa);
1936
test.u_cmp(32'h0000024c,32'h0000006d,32'h0000fa5c);
1937
test.u_cmp(32'h00000126,32'h0000006d,32'h00007d2e);
1938
test.u_cmp(32'h00000193,32'h00000073,32'h0000b509);
1939
test.u_cmp(32'h7fffff36,32'h00000073,32'h7fffa542);
1940
test.u_cmp(32'h00000165,32'h00000074,32'h0000a1c4);
1941
test.u_cmp(32'h000000b2,32'h00000074,32'h000050a8);
1942
test.u_cmp(32'h000003a6,32'h0000001d,32'h000069ce);
1943
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
1944
test.u_cmp(32'h000000e9,32'h00000007,32'h0000065f);
1945
test.u_cmp(32'h00000074,32'h00000007,32'h0000032c);
1946
test.u_cmp(32'h0000003a,32'h0000002f,32'h00000aa6);
1947
test.u_cmp(32'h7fffffe3,32'h0000002f,32'h7ffffaad);
1948
test.u_cmp(32'h0000068e,32'h00000034,32'h000154d8);
1949
test.u_cmp(32'h00000347,32'h00000034,32'h0000aa6c);
1950
test.u_cmp(32'h0000035d,32'h00000065,32'h000153b1);
1951
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
1952
test.u_cmp(32'h000001d8,32'h00000071,32'h0000d058);
1953
test.u_cmp(32'h7fffff14,32'h00000071,32'h7fff97d4);
1954
test.u_cmp(32'h0000038a,32'h00000034,32'h0000b808);
1955
test.u_cmp(32'h000001c5,32'h00000034,32'h00005c04);
1956
test.u_cmp(32'h0000049e,32'h00000075,32'h00021c36);
1957
test.u_cmp(32'h7ffffdb1,32'h00000075,32'h7ffef1e5);
1958
test.u_cmp(32'h000006a8,32'h0000004a,32'h0001ec90);
1959
test.u_cmp(32'h00000354,32'h0000004a,32'h0000f648);
1960
test.u_cmp(32'h00000256,32'h0000003e,32'h000090d4);
1961
test.u_cmp(32'h7ffffed5,32'h0000003e,32'hffffb796);
1962
test.u_cmp(32'h00000615,32'h00000030,32'h000123f0);
1963
test.u_cmp(32'h7ffffcf5,32'h00000030,32'hffff6df0);
1964
test.u_cmp(32'h0000037b,32'h00000064,32'h00015c0c);
1965
test.u_cmp(32'h7ffffe42,32'h00000064,32'hffff51c8);
1966
test.u_cmp(32'h00000321,32'h00000019,32'h00004e39);
1967
test.u_cmp(32'h00000190,32'h00000019,32'h00002710);
1968
test.u_cmp(32'h00000238,32'h00000042,32'h00009270);
1969
test.u_cmp(32'h7ffffee4,32'h00000042,32'hffffb6c8);
1970
test.u_cmp(32'h000005f2,32'h00000001,32'h000005f2);
1971
test.u_cmp(32'h7ffffd07,32'h00000001,32'h7ffffd07);
1972
test.u_cmp(32'h000007fc,32'h0000003f,32'h0001f704);
1973
test.u_cmp(32'h7ffffc02,32'h0000003f,32'h7fff047e);
1974
test.u_cmp(32'h000001ff,32'h0000000f,32'h00001df1);
1975
test.u_cmp(32'h7fffff00,32'h0000000f,32'h7ffff100);
1976
test.u_cmp(32'h00000281,32'h00000045,32'h0000acc5);
1977
test.u_cmp(32'h00000140,32'h00000045,32'h00005640);
1978
test.u_cmp(32'h000005df,32'h0000002e,32'h00010e12);
1979
test.u_cmp(32'h7ffffd10,32'h0000002e,32'hffff78e0);
1980
test.u_cmp(32'h00000177,32'h0000000b,32'h0000101d);
1981
test.u_cmp(32'h7fffff44,32'h0000000b,32'h7ffff7ec);
1982
test.u_cmp(32'h000002a3,32'h0000006a,32'h0001177e);
1983
test.u_cmp(32'h00000151,32'h0000006a,32'h00008b8a);
1984
test.u_cmp(32'h00000357,32'h00000036,32'h0000b45a);
1985
test.u_cmp(32'h7ffffe54,32'h00000036,32'hffffa5b8);
1986
test.u_cmp(32'h000004ab,32'h0000005a,32'h0001a41e);
1987
test.u_cmp(32'h00000255,32'h0000005a,32'h0000d1e2);
1988
test.u_cmp(32'h000002d5,32'h00000016,32'h00003e4e);
1989
test.u_cmp(32'h7ffffe95,32'h00000016,32'hffffe0ce);
1990
test.u_cmp(32'h000000b5,32'h00000005,32'h00000389);
1991
test.u_cmp(32'h7fffffa5,32'h00000005,32'h7ffffe39);
1992
test.u_cmp(32'h000002d3,32'h00000047,32'h0000c885);
1993
test.u_cmp(32'h7ffffe96,32'h00000047,32'h7fff9b9a);
1994
test.u_cmp(32'h00000735,32'h00000046,32'h0001f87e);
1995
test.u_cmp(32'h7ffffc65,32'h00000046,32'hffff039e);
1996
test.u_cmp(32'h000000ce,32'h00000079,32'h0000615e);
1997
test.u_cmp(32'h00000067,32'h00000079,32'h000030af);
1998
test.u_cmp(32'h00000134,32'h00000076,32'h00008df8);
1999
test.u_cmp(32'h7fffff66,32'h00000076,32'hffffb904);
2000
test.u_cmp(32'h0000014d,32'h00000075,32'h00009831);
2001
test.u_cmp(32'h000000a6,32'h00000075,32'h00004bde);
2002
test.u_cmp(32'h00000154,32'h00000075,32'h00009b64);
2003
test.u_cmp(32'h7fffff56,32'h00000075,32'h7fffb24e);
2004
test.u_cmp(32'h000003ab,32'h00000033,32'h0000bb11);
2005
test.u_cmp(32'h000001d5,32'h00000033,32'h00005d6f);
2006
test.u_cmp(32'h0000066a,32'h0000001d,32'h0000ba02);
2007
test.u_cmp(32'h00000335,32'h0000001d,32'h00005d01);
2008
test.u_cmp(32'h000005e6,32'h00000050,32'h0001d7e0);
2009
test.u_cmp(32'h000002f3,32'h00000050,32'h0000ebf0);
2010
test.u_cmp(32'h00000286,32'h0000003c,32'h00009768);
2011
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
2012
test.u_cmp(32'h000004df,32'h00000059,32'h0001b187);
2013
test.u_cmp(32'h7ffffd90,32'h00000059,32'h7fff2710);
2014
test.u_cmp(32'h000002c8,32'h0000003a,32'h0000a150);
2015
test.u_cmp(32'h00000164,32'h0000003a,32'h000050a8);
2016
test.u_cmp(32'h00000632,32'h00000031,32'h00012f92);
2017
test.u_cmp(32'h7ffffce7,32'h00000031,32'h7fff6837);
2018
test.u_cmp(32'h00000374,32'h0000004c,32'h00010670);
2019
test.u_cmp(32'h7ffffe46,32'h0000004c,32'hffff7cc8);
2020
test.u_cmp(32'h0000075d,32'h00000045,32'h0001fc11);
2021
test.u_cmp(32'h7ffffc51,32'h00000045,32'h7fff01d5);
2022
test.u_cmp(32'h000000d8,32'h00000057,32'h00004968);
2023
test.u_cmp(32'h7fffff94,32'h00000057,32'h7fffdb4c);
2024
test.u_cmp(32'h000007b6,32'h00000042,32'h0001fcec);
2025
test.u_cmp(32'h7ffffc25,32'h00000042,32'hffff018a);
2026
test.u_cmp(32'h000000ee,32'h00000058,32'h000051d0);
2027
test.u_cmp(32'h00000077,32'h00000058,32'h000028e8);
2028
test.u_cmp(32'h00000544,32'h0000002a,32'h0000dd28);
2029
test.u_cmp(32'h000002a2,32'h0000002a,32'h00006e94);
2030
test.u_cmp(32'h000003af,32'h0000004e,32'h00011f52);
2031
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
2032
test.u_cmp(32'h00000594,32'h00000004,32'h00001650);
2033
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
2034
test.u_cmp(32'h0000051b,32'h00000079,32'h000269c3);
2035
test.u_cmp(32'h7ffffd72,32'h00000079,32'h7ffecae2);
2036
test.u_cmp(32'h000006c7,32'h00000067,32'h0002ba11);
2037
test.u_cmp(32'h00000363,32'h00000067,32'h00015cd5);
2038
test.u_cmp(32'h000004ce,32'h00000026,32'h0000b694);
2039
test.u_cmp(32'h00000267,32'h00000026,32'h00005b4a);
2040
test.u_cmp(32'h00000133,32'h00000027,32'h00002ec5);
2041
test.u_cmp(32'h7fffff66,32'h00000027,32'h7fffe88a);
2042
test.u_cmp(32'h000006cc,32'h00000036,32'h00016f08);
2043
test.u_cmp(32'h00000366,32'h00000036,32'h0000b784);
2044
test.u_cmp(32'h000001b3,32'h0000000d,32'h00001617);
2045
test.u_cmp(32'h7fffff26,32'h0000000d,32'h7ffff4ee);
2046
test.u_cmp(32'h00000294,32'h00000045,32'h0000b1e4);
2047
test.u_cmp(32'h7ffffeb6,32'h00000045,32'h7fffa70e);
2048
test.u_cmp(32'h000005db,32'h0000002e,32'h00010d5a);
2049
test.u_cmp(32'h7ffffd12,32'h0000002e,32'hffff793c);
2050
test.u_cmp(32'h00000176,32'h00000025,32'h0000360e);
2051
test.u_cmp(32'h7fffff45,32'h00000025,32'h7fffe4f9);
2052
test.u_cmp(32'h00000423,32'h00000072,32'h0001d796);
2053
test.u_cmp(32'h00000211,32'h00000072,32'h0000eb92);
2054
test.u_cmp(32'h00000689,32'h0000004b,32'h0001ea23);
2055
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
2056
test.u_cmp(32'h0000025d,32'h0000003e,32'h00009286);
2057
test.u_cmp(32'h7ffffed1,32'h0000003e,32'hffffb69e);
2058
test.u_cmp(32'h000004e9,32'h00000078,32'h00024d38);
2059
test.u_cmp(32'h00000274,32'h00000078,32'h00012660);
2060
test.u_cmp(32'h00000445,32'h0000000e,32'h00003bc6);
2061
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
2062
test.u_cmp(32'h00000791,32'h0000003c,32'h0001c5fc);
2063
test.u_cmp(32'h7ffffc37,32'h0000003c,32'hffff1ce4);
2064
test.u_cmp(32'h000001e4,32'h00000021,32'h00003e64);
2065
test.u_cmp(32'h000000f2,32'h00000021,32'h00001f32);
2066
test.u_cmp(32'h000006f9,32'h00000037,32'h00017f7f);
2067
test.u_cmp(32'h7ffffc83,32'h00000037,32'h7fff4025);
2068
test.u_cmp(32'h000001be,32'h00000023,32'h00003cfa);
2069
test.u_cmp(32'h7fffff21,32'h00000023,32'h7fffe183);
2070
test.u_cmp(32'h000006ef,32'h00000037,32'h00017d59);
2071
test.u_cmp(32'h00000377,32'h00000037,32'h0000be91);
2072
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
2073
test.u_cmp(32'h000001a2,32'h00000065,32'h0000a4ea);
2074
test.u_cmp(32'h0000032e,32'h00000037,32'h0000aee2);
2075
test.u_cmp(32'h00000197,32'h00000037,32'h00005771);
2076
test.u_cmp(32'h0000064b,32'h0000001e,32'h0000bcca);
2077
test.u_cmp(32'h00000325,32'h0000001e,32'h00005e56);
2078
test.u_cmp(32'h000005ee,32'h00000050,32'h0001da60);
2079
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
2080
test.u_cmp(32'h0000007c,32'h0000007c,32'h00003c10);
2081
test.u_cmp(32'h7fffffc2,32'h0000007c,32'hffffe1f8);
2082
test.u_cmp(32'h000003e1,32'h00000031,32'h0000be11);
2083
test.u_cmp(32'h000001f0,32'h00000031,32'h00005ef0);
2084
test.u_cmp(32'h00000488,32'h00000075,32'h00021228);
2085
test.u_cmp(32'h00000244,32'h00000075,32'h00010914);
2086
test.u_cmp(32'h000006a2,32'h0000004a,32'h0001ead4);
2087
test.u_cmp(32'h00000351,32'h0000004a,32'h0000f56a);
2088
test.u_cmp(32'h00000257,32'h00000012,32'h00002a1e);
2089
test.u_cmp(32'h7ffffed4,32'h00000012,32'hffffeae8);
2090
test.u_cmp(32'h0000026b,32'h0000006c,32'h00010524);
2091
test.u_cmp(32'h00000135,32'h0000006c,32'h0000825c);
2092
test.u_cmp(32'h0000019b,32'h00000073,32'h0000b8a1);
2093
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
2094
test.u_cmp(32'h00000167,32'h0000005c,32'h00008104);
2095
test.u_cmp(32'h000000b3,32'h0000005c,32'h00004054);
2096
test.u_cmp(32'h00000526,32'h00000007,32'h0000240a);
2097
test.u_cmp(32'h00000293,32'h00000007,32'h00001205);
2098
test.u_cmp(32'h000007c9,32'h0000003e,32'h0001e2ae);
2099
test.u_cmp(32'h000003e4,32'h0000003e,32'h0000f138);
2100
test.u_cmp(32'h0000030e,32'h00000049,32'h0000defe);
2101
test.u_cmp(32'h00000187,32'h00000049,32'h00006f7f);
2102
test.u_cmp(32'h00000744,32'h00000045,32'h0001f554);
2103
test.u_cmp(32'h000003a2,32'h00000045,32'h0000faaa);
2104
test.u_cmp(32'h0000022f,32'h00000011,32'h0000251f);
2105
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
2106
test.u_cmp(32'h0000008b,32'h00000004,32'h0000022c);
2107
test.u_cmp(32'h00000045,32'h00000004,32'h00000114);
2108
test.u_cmp(32'h000002de,32'h00000069,32'h00012d0e);
2109
test.u_cmp(32'h7ffffe91,32'h00000069,32'h7fff6979);
2110
test.u_cmp(32'h000001b8,32'h00000072,32'h0000c3f0);
2111
test.u_cmp(32'h7fffff24,32'h00000072,32'hffff9e08);
2112
test.u_cmp(32'h00000392,32'h00000034,32'h0000b9a8);
2113
test.u_cmp(32'h7ffffe37,32'h00000034,32'hffffa32c);
2114
test.u_cmp(32'h0000049c,32'h0000005b,32'h0001a374);
2115
test.u_cmp(32'h7ffffdb2,32'h0000005b,32'h7fff2e46);
2116
test.u_cmp(32'h000002d9,32'h00000016,32'h00003ea6);
2117
test.u_cmp(32'h7ffffe93,32'h00000016,32'hffffe0a2);
2118
test.u_cmp(32'h0000024a,32'h0000006d,32'h0000f982);
2119
test.u_cmp(32'h00000125,32'h0000006d,32'h00007cc1);
2120
test.u_cmp(32'h0000036d,32'h0000001b,32'h00005c7f);
2121
test.u_cmp(32'h000001b6,32'h0000001b,32'h00002e32);
2122
test.u_cmp(32'h00000225,32'h00000042,32'h00008d8a);
2123
test.u_cmp(32'h00000112,32'h00000042,32'h000046a4);
2124
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
2125
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
2126
test.u_cmp(32'h000000c3,32'h00000079,32'h00005c2b);
2127
test.u_cmp(32'h00000061,32'h00000079,32'h00002dd9);
2128
test.u_cmp(32'h00000131,32'h0000005a,32'h00006b3a);
2129
test.u_cmp(32'h7fffff67,32'h0000005a,32'hffffca36);
2130
test.u_cmp(32'h00000533,32'h00000029,32'h0000d52b);
2131
test.u_cmp(32'h7ffffd66,32'h00000029,32'h7fff9556);
2132
test.u_cmp(32'h0000014c,32'h0000000a,32'h00000cf8);
2133
test.u_cmp(32'h000000a6,32'h0000000a,32'h0000067c);
2134
test.u_cmp(32'h000002ad,32'h00000046,32'h0000bb4e);
2135
test.u_cmp(32'h00000156,32'h00000046,32'h00005d84);
2136
test.u_cmp(32'h0000072c,32'h00000046,32'h0001f608);
2137
test.u_cmp(32'h00000396,32'h00000046,32'h0000fb04);
2138
test.u_cmp(32'h00000235,32'h00000011,32'h00002585);
2139
test.u_cmp(32'h7ffffee5,32'h00000011,32'h7fffed35);
2140
test.u_cmp(32'h00000273,32'h0000006c,32'h00010884);
2141
test.u_cmp(32'h7ffffec6,32'h0000006c,32'hffff7b88);
2142
test.u_cmp(32'h00000363,32'h00000035,32'h0000b37f);
2143
test.u_cmp(32'h000001b1,32'h00000035,32'h000059a5);
2144
test.u_cmp(32'h00000658,32'h00000032,32'h00013d30);
2145
test.u_cmp(32'h7ffffcd4,32'h00000032,32'hffff6168);
2146
test.u_cmp(32'h0000036a,32'h00000064,32'h00015568);
2147
test.u_cmp(32'h000001b5,32'h00000064,32'h0000aab4);
2148
test.u_cmp(32'h00000325,32'h00000037,32'h0000acf3);
2149
test.u_cmp(32'h00000192,32'h00000037,32'h0000565e);
2150
test.u_cmp(32'h00000649,32'h0000001e,32'h0000bc8e);
2151
test.u_cmp(32'h00000324,32'h0000001e,32'h00005e38);
2152
test.u_cmp(32'h00000712,32'h00000038,32'h00018bf0);
2153
test.u_cmp(32'h7ffffc77,32'h00000038,32'hffff3a08);
2154
test.u_cmp(32'h000001c4,32'h00000022,32'h00003c08);
2155
test.u_cmp(32'h000000e2,32'h00000022,32'h00001e04);
2156
test.u_cmp(32'h000006f1,32'h00000037,32'h00017dc7);
2157
test.u_cmp(32'h7ffffc87,32'h00000037,32'h7fff4101);
2158
test.u_cmp(32'h000001bc,32'h00000023,32'h00003cb4);
2159
test.u_cmp(32'h7fffff22,32'h00000023,32'h7fffe1a6);
2160
test.u_cmp(32'h00000411,32'h0000005f,32'h0001824f);
2161
test.u_cmp(32'h7ffffdf7,32'h0000005f,32'h7fff3ea9);
2162
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
2163
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
2164
test.u_cmp(32'h0000063e,32'h00000031,32'h000131de);
2165
test.u_cmp(32'h7ffffce1,32'h00000031,32'h7fff6711);
2166
test.u_cmp(32'h0000018f,32'h0000000c,32'h000012b4);
2167
test.u_cmp(32'h000000c7,32'h0000000c,32'h00000954);
2168
test.u_cmp(32'h0000029d,32'h0000006b,32'h0001179f);
2169
test.u_cmp(32'h7ffffeb1,32'h0000006b,32'h7fff73fb);
2170
test.u_cmp(32'h00000358,32'h00000036,32'h0000b490);
2171
test.u_cmp(32'h7ffffe54,32'h00000036,32'hffffa5b8);
2172
test.u_cmp(32'h000004aa,32'h00000076,32'h0002265c);
2173
test.u_cmp(32'h00000255,32'h00000076,32'h0001132e);
2174
test.u_cmp(32'h00000455,32'h00000022,32'h0000934a);
2175
test.u_cmp(32'h7ffffdd5,32'h00000022,32'hffffb64a);
2176
test.u_cmp(32'h00000115,32'h00000028,32'h00002b48);
2177
test.u_cmp(32'h7fffff75,32'h00000028,32'hffffea48);
2178
test.u_cmp(32'h0000043b,32'h00000072,32'h0001e246);
2179
test.u_cmp(32'h7ffffde2,32'h00000072,32'hffff0ea4);
2180
test.u_cmp(32'h0000068f,32'h0000004b,32'h0001ebe5);
2181
test.u_cmp(32'h00000347,32'h0000004b,32'h0000f5cd);
2182
test.u_cmp(32'h000000a4,32'h0000007a,32'h00004e28);
2183
test.u_cmp(32'h00000052,32'h0000007a,32'h00002714);
2184
test.u_cmp(32'h00000129,32'h0000005a,32'h0000686a);
2185
test.u_cmp(32'h00000094,32'h0000005a,32'h00003408);
2186
test.u_cmp(32'h00000535,32'h00000007,32'h00002473);
2187
test.u_cmp(32'h7ffffd65,32'h00000007,32'h7fffedc3);
2188
test.u_cmp(32'h000007cd,32'h00000012,32'h00008c6a);
2189
test.u_cmp(32'h000003e6,32'h00000012,32'h0000462c);
2190
test.u_cmp(32'h00000773,32'h0000003b,32'h0001b781);
2191
test.u_cmp(32'h7ffffc46,32'h0000003b,32'h7fff2422);
2192
test.u_cmp(32'h000001dc,32'h0000000e,32'h00001a08);
2193
test.u_cmp(32'h7fffff12,32'h0000000e,32'hfffff2fc);
2194
test.u_cmp(32'h00000289,32'h0000006b,32'h00010f43);
2195
test.u_cmp(32'h00000144,32'h0000006b,32'h0000876c);
2196
test.u_cmp(32'h0000035d,32'h00000036,32'h0000b59e);
2197
test.u_cmp(32'h7ffffe51,32'h00000036,32'hffffa516);
2198
test.u_cmp(32'h000004a9,32'h0000005a,32'h0001a36a);
2199
test.u_cmp(32'h00000254,32'h0000005a,32'h0000d188);
2200
test.u_cmp(32'h000002d5,32'h0000003a,32'h0000a442);
2201
test.u_cmp(32'h7ffffe95,32'h0000003a,32'hffffadc2);
2202
test.u_cmp(32'h000004cb,32'h00000077,32'h00023a5d);
2203
test.u_cmp(32'h00000265,32'h00000077,32'h00011cf3);
2204
test.u_cmp(32'h000006b3,32'h0000004a,32'h0001efbe);
2205
test.u_cmp(32'h7ffffca6,32'h0000004a,32'hffff07fc);
2206
test.u_cmp(32'h000000ad,32'h0000007a,32'h00005272);
2207
test.u_cmp(32'h00000056,32'h0000007a,32'h000028fc);
2208
test.u_cmp(32'h000003d4,32'h00000032,32'h0000bf68);
2209
test.u_cmp(32'h7ffffe16,32'h00000032,32'hffffa04c);
2210
test.u_cmp(32'h0000048b,32'h0000005b,32'h00019d69);
2211
test.u_cmp(32'h00000245,32'h0000005b,32'h0000ce87);
2212
test.u_cmp(32'h00000023,32'h00000052,32'h00000b36);
2213
test.u_cmp(32'h00000011,32'h00000052,32'h00000572);
2214
test.u_cmp(32'h00000789,32'h00000043,32'h0001f8db);
2215
test.u_cmp(32'h000003c4,32'h00000043,32'h0000fc4c);
2216
test.u_cmp(32'h000000e3,32'h00000078,32'h00006a68);
2217
test.u_cmp(32'h00000071,32'h00000078,32'h000034f8);
2218
test.u_cmp(32'h000003c7,32'h00000032,32'h0000bcde);
2219
test.u_cmp(32'h000001e3,32'h00000032,32'h00005e56);
2220
test.u_cmp(32'h00000671,32'h0000001d,32'h0000bacd);
2221
test.u_cmp(32'h7ffffcc7,32'h0000001d,32'h7fffa28b);
2222
test.u_cmp(32'h000005e4,32'h00000050,32'h0001d740);
2223
test.u_cmp(32'h000002f2,32'h00000050,32'h0000eba0);
2224
test.u_cmp(32'h00000287,32'h0000003c,32'h000097a4);
2225
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
2226
test.u_cmp(32'h000004df,32'h00000059,32'h0001b187);
2227
test.u_cmp(32'h7ffffd90,32'h00000059,32'h7fff2710);
2228
test.u_cmp(32'h00000038,32'h00000052,32'h000011f0);
2229
test.u_cmp(32'h7fffffe4,32'h00000052,32'hfffff708);
2230
test.u_cmp(32'h0000078e,32'h00000043,32'h0001fa2a);
2231
test.u_cmp(32'h000003c7,32'h00000043,32'h0000fd15);
2232
test.u_cmp(32'h0000021c,32'h00000040,32'h00008700);
2233
test.u_cmp(32'h7ffffef2,32'h00000040,32'hffffbc80);
2234
test.u_cmp(32'h000004f9,32'h00000058,32'h0001b598);
2235
test.u_cmp(32'h7ffffd83,32'h00000058,32'hffff2508);
2236
test.u_cmp(32'h0000003f,32'h0000007e,32'h00001f02);
2237
test.u_cmp(32'h7fffffe0,32'h0000007e,32'hfffff040);
2238
test.u_cmp(32'h000003f0,32'h00000031,32'h0000c0f0);
2239
test.u_cmp(32'h7ffffe08,32'h00000031,32'h7fff9f88);
2240
test.u_cmp(32'h0000067c,32'h0000001d,32'h0000bc0c);
2241
test.u_cmp(32'h7ffffcc2,32'h0000001d,32'h7fffa1fa);
2242
test.u_cmp(32'h000005e1,32'h00000080,32'h0002f080);
2243
test.u_cmp(32'h000002f0,32'h00000080,32'h00017800);
2244
test.u_cmp(32'h000006f9,32'h00000048,32'h0001f608);
2245
test.u_cmp(32'h7ffffc83,32'h00000048,32'hffff04d8);
2246
test.u_cmp(32'h000000bf,32'h0000007a,32'h00005b06);
2247
test.u_cmp(32'h7fffffa0,32'h0000007a,32'hffffd240);
2248
test.u_cmp(32'h000003d0,32'h0000001e,32'h00007260);
2249
test.u_cmp(32'h7ffffe18,32'h0000001e,32'hffffc6d0);
2250
test.u_cmp(32'h000000f4,32'h00000007,32'h000006ac);
2251
test.u_cmp(32'h7fffff86,32'h00000007,32'h7ffffcaa);
2252
test.u_cmp(32'h000002c3,32'h00000047,32'h0000c415);
2253
test.u_cmp(32'h00000161,32'h00000047,32'h000061e7);
2254
test.u_cmp(32'h00000731,32'h00000046,32'h0001f766);
2255
test.u_cmp(32'h7ffffc67,32'h00000046,32'hffff042a);
2256
test.u_cmp(32'h000000cd,32'h00000079,32'h000060e5);
2257
test.u_cmp(32'h00000066,32'h00000079,32'h00003036);
2258
test.u_cmp(32'h000003cc,32'h0000001e,32'h000071e8);
2259
test.u_cmp(32'h000001e6,32'h0000001e,32'h000038f4);
2260
test.u_cmp(32'h000000f3,32'h00000007,32'h000006a5);
2261
test.u_cmp(32'h7fffff86,32'h00000007,32'h7ffffcaa);
2262
test.u_cmp(32'h0000003c,32'h0000002f,32'h00000b04);
2263
test.u_cmp(32'h7fffffe2,32'h0000002f,32'h7ffffa7e);
2264
test.u_cmp(32'h0000068f,32'h0000001c,32'h0000b7a4);
2265
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
2266
test.u_cmp(32'h00000723,32'h00000017,32'h0000a425);
2267
test.u_cmp(32'h00000391,32'h00000017,32'h00005207);
2268
test.u_cmp(32'h000005b8,32'h0000007e,32'h0002d090);
2269
test.u_cmp(32'h7ffffd24,32'h0000007e,32'hfffe97b8);
2270
test.u_cmp(32'h000006ee,32'h00000068,32'h0002d0b0);
2271
test.u_cmp(32'h00000377,32'h00000068,32'h00016858);
2272
test.u_cmp(32'h0000063c,32'h0000004e,32'h0001e648);
2273
test.u_cmp(32'h7ffffce2,32'h0000004e,32'hffff0cdc);
2274
test.u_cmp(32'h00000271,32'h0000003d,32'h000094ed);
2275
test.u_cmp(32'h7ffffec7,32'h0000003d,32'h7fffb56b);
2276
test.u_cmp(32'h0000061c,32'h00000020,32'h0000c380);
2277
test.u_cmp(32'h7ffffcf2,32'h00000020,32'hffff9e40);
2278
test.u_cmp(32'h00000707,32'h00000038,32'h00018988);
2279
test.u_cmp(32'h00000383,32'h00000038,32'h0000c4a8);
2280
test.u_cmp(32'h000001c1,32'h00000022,32'h00003ba2);
2281
test.u_cmp(32'h000000e0,32'h00000022,32'h00001dc0);
2282
test.u_cmp(32'h000006f0,32'h00000037,32'h00017d90);
2283
test.u_cmp(32'h7ffffc88,32'h00000037,32'h7fff4138);
2284
test.u_cmp(32'h000001bc,32'h00000023,32'h00003cb4);
2285
test.u_cmp(32'h7fffff22,32'h00000023,32'h7fffe1a6);
2286
test.u_cmp(32'h000006ef,32'h00000019,32'h0000ad57);
2287
test.u_cmp(32'h00000377,32'h00000019,32'h0000569f);
2288
test.u_cmp(32'h0000073b,32'h00000039,32'h00019c23);
2289
test.u_cmp(32'h7ffffc62,32'h00000039,32'h7fff31d2);
2290
test.u_cmp(32'h000001ce,32'h0000000e,32'h00001944);
2291
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
2292
test.u_cmp(32'h0000028d,32'h00000045,32'h0000b001);
2293
test.u_cmp(32'h00000146,32'h00000045,32'h000057de);
2294
test.u_cmp(32'h00000724,32'h00000046,32'h0001f3d8);
2295
test.u_cmp(32'h00000392,32'h00000046,32'h0000f9ec);
2296
test.u_cmp(32'h00000237,32'h00000011,32'h000025a7);
2297
test.u_cmp(32'h7ffffee4,32'h00000011,32'h7fffed24);
2298
test.u_cmp(32'h0000008d,32'h0000002c,32'h0000183c);
2299
test.u_cmp(32'h00000046,32'h0000002c,32'h00000c08);
2300
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
2301
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
2302
test.u_cmp(32'h000005d8,32'h0000007f,32'h0002e628);
2303
test.u_cmp(32'h7ffffd14,32'h0000007f,32'h7ffe8cec);
2304
test.u_cmp(32'h000006f6,32'h00000068,32'h0002d3f0);
2305
test.u_cmp(32'h7ffffc85,32'h00000068,32'hfffe9608);
2306
test.u_cmp(32'h0000063e,32'h0000004e,32'h0001e6e4);
2307
test.u_cmp(32'h7ffffce1,32'h0000004e,32'hffff0c8e);
2308
test.u_cmp(32'h00000090,32'h0000007b,32'h00004530);
2309
test.u_cmp(32'h00000048,32'h0000007b,32'h00002298);
2310
test.u_cmp(32'h00000124,32'h0000005a,32'h000066a8);
2311
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
2312
test.u_cmp(32'h00000537,32'h00000007,32'h00002481);
2313
test.u_cmp(32'h7ffffd64,32'h00000007,32'h7fffedbc);
2314
test.u_cmp(32'h000007cd,32'h0000003e,32'h0001e3a6);
2315
test.u_cmp(32'h000003e6,32'h0000003e,32'h0000f1b4);
2316
test.u_cmp(32'h000001f3,32'h00000021,32'h00004053);
2317
test.u_cmp(32'h7fffff06,32'h00000021,32'h7fffdfc6);
2318
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
2319
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
2320
test.u_cmp(32'h000005c1,32'h00000051,32'h0001d211);
2321
test.u_cmp(32'h000002e0,32'h00000051,32'h0000e8e0);
2322
test.u_cmp(32'h00000071,32'h00000054,32'h00002514);
2323
test.u_cmp(32'h7fffffc7,32'h00000054,32'hffffed4c);
2324
test.u_cmp(32'h0000079d,32'h0000006d,32'h00033dd9);
2325
test.u_cmp(32'h7ffffc31,32'h0000006d,32'h7ffe60dd);
2326
test.u_cmp(32'h00000498,32'h00000024,32'h0000a560);
2327
test.u_cmp(32'h7ffffdb4,32'h00000024,32'hffffad50);
2328
test.u_cmp(32'h000003da,32'h0000004f,32'h00013046);
2329
test.u_cmp(32'h7ffffe13,32'h0000004f,32'h7fff67dd);
2330
test.u_cmp(32'h00000777,32'h0000006c,32'h00032634);
2331
test.u_cmp(32'h7ffffc44,32'h0000006c,32'hfffe6cb0);
2332
test.u_cmp(32'h0000065e,32'h0000004d,32'h0001ea46);
2333
test.u_cmp(32'h7ffffcd1,32'h0000004d,32'h7fff0add);
2334
test.u_cmp(32'h00000098,32'h0000007b,32'h00004908);
2335
test.u_cmp(32'h7fffffb4,32'h0000007b,32'h7fffdb7c);
2336
test.u_cmp(32'h000003da,32'h00000032,32'h0000c094);
2337
test.u_cmp(32'h7ffffe13,32'h00000032,32'hffff9fb6);
2338
test.u_cmp(32'h00000676,32'h00000033,32'h00014982);
2339
test.u_cmp(32'h7ffffcc5,32'h00000033,32'h7fff5b3f);
2340
test.u_cmp(32'h0000019d,32'h00000024,32'h00003a14);
2341
test.u_cmp(32'h7fffff31,32'h00000024,32'hffffe2e4);
2342
test.u_cmp(32'h000006e7,32'h00000019,32'h0000ac8f);
2343
test.u_cmp(32'h00000373,32'h00000019,32'h0000563b);
2344
test.u_cmp(32'h00000739,32'h00000017,32'h0000a61f);
2345
test.u_cmp(32'h7ffffc63,32'h00000017,32'h7ffface5);
2346
test.u_cmp(32'h0000074e,32'h0000003a,32'h0001a7ac);
2347
test.u_cmp(32'h000003a7,32'h0000003a,32'h0000d3d6);
2348
test.u_cmp(32'h000001d3,32'h0000000e,32'h0000198a);
2349
test.u_cmp(32'h7fffff16,32'h0000000e,32'hfffff334);
2350
test.u_cmp(32'h0000028c,32'h0000006b,32'h00011084);
2351
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
2352
test.u_cmp(32'h0000035d,32'h0000001a,32'h00005772);
2353
test.u_cmp(32'h7ffffe51,32'h0000001a,32'hffffd43a);
2354
test.u_cmp(32'h00000229,32'h00000042,32'h00008e92);
2355
test.u_cmp(32'h00000114,32'h00000042,32'h00004728);
2356
test.u_cmp(32'h0000070b,32'h00000069,32'h0002e383);
2357
test.u_cmp(32'h00000385,32'h00000069,32'h0001718d);
2358
test.u_cmp(32'h000004bd,32'h00000025,32'h0000af51);
2359
test.u_cmp(32'h7ffffda1,32'h00000025,32'h7fffa845);
2360
test.u_cmp(32'h000003d1,32'h00000061,32'h00017231);
2361
test.u_cmp(32'h7ffffe17,32'h00000061,32'h7fff46b7);
2362
test.u_cmp(32'h0000030b,32'h00000038,32'h0000aa68);
2363
test.u_cmp(32'h00000185,32'h00000038,32'h00005518);
2364
test.u_cmp(32'h00000642,32'h0000001e,32'h0000bbbc);
2365
test.u_cmp(32'h00000321,32'h0000001e,32'h00005dde);
2366
test.u_cmp(32'h000005f0,32'h00000080,32'h0002f800);
2367
test.u_cmp(32'h000002f8,32'h00000080,32'h00017c00);
2368
test.u_cmp(32'h00000404,32'h00000010,32'h00004040);
2369
test.u_cmp(32'h00000202,32'h00000010,32'h00002020);
2370
test.u_cmp(32'h00000781,32'h0000003c,32'h0001c23c);
2371
test.u_cmp(32'h000003c0,32'h0000003c,32'h0000e100);
2372
test.u_cmp(32'h000001e0,32'h00000021,32'h00003de0);
2373
test.u_cmp(32'h000000f0,32'h00000021,32'h00001ef0);
2374
test.u_cmp(32'h00000408,32'h0000005f,32'h00017ef8);
2375
test.u_cmp(32'h00000204,32'h0000005f,32'h0000bf7c);
2376
test.u_cmp(32'h00000002,32'h0000007f,32'h000000fe);
2377
test.u_cmp(32'h00000001,32'h0000007f,32'h0000007f);
2378
test.u_cmp(32'h000003ff,32'h00000031,32'h0000c3cf);
2379
test.u_cmp(32'h7ffffe00,32'h00000031,32'h7fff9e00);
2380
test.u_cmp(32'h00000481,32'h0000005b,32'h000199db);
2381
test.u_cmp(32'h00000240,32'h0000005b,32'h0000ccc0);
2382
test.u_cmp(32'h00000021,32'h0000007e,32'h0000103e);
2383
test.u_cmp(32'h00000010,32'h0000007e,32'h000007e0);
2384
test.u_cmp(32'h00000109,32'h00000059,32'h00005c21);
2385
test.u_cmp(32'h00000084,32'h00000059,32'h00002de4);
2386
test.u_cmp(32'h0000053d,32'h00000029,32'h0000d6c5);
2387
test.u_cmp(32'h7ffffd61,32'h00000029,32'h7fff9489);
2388
test.u_cmp(32'h0000014f,32'h0000000a,32'h00000d16);
2389
test.u_cmp(32'h000000a7,32'h0000000a,32'h00000686);
2390
test.u_cmp(32'h00000053,32'h0000002e,32'h00000eea);
2391
test.u_cmp(32'h7fffffd6,32'h0000002e,32'hfffff874);
2392
test.u_cmp(32'h0000046c,32'h00000074,32'h000200f0);
2393
test.u_cmp(32'h00000236,32'h00000074,32'h00010078);
2394
test.u_cmp(32'h0000069b,32'h00000065,32'h00029b27);
2395
test.u_cmp(32'h7ffffcb2,32'h00000065,32'h7ffeb23a);
2396
test.u_cmp(32'h00000627,32'h00000062,32'h00025aee);
2397
test.u_cmp(32'h00000313,32'h00000062,32'h00012d46);
2398
test.u_cmp(32'h000004f6,32'h00000009,32'h00002ca6);
2399
test.u_cmp(32'h7ffffd85,32'h00000009,32'h7fffe9ad);
2400
test.u_cmp(32'h000007bd,32'h00000013,32'h00009307);
2401
test.u_cmp(32'h7ffffc21,32'h00000013,32'h7fffb673);
2402
test.u_cmp(32'h0000076f,32'h00000015,32'h00009c1b);
2403
test.u_cmp(32'h000003b7,32'h00000015,32'h00004e03);
2404
test.u_cmp(32'h0000075b,32'h00000016,32'h0000a1d2);
2405
test.u_cmp(32'h7ffffc52,32'h00000016,32'hffffaf0c);
2406
test.u_cmp(32'h00000756,32'h00000016,32'h0000a164);
2407
test.u_cmp(32'h7ffffc55,32'h00000016,32'hffffaf4e);
2408
test.u_cmp(32'h000005ab,32'h00000052,32'h0001d0c6);
2409
test.u_cmp(32'h000002d5,32'h00000052,32'h0000e83a);
2410
test.u_cmp(32'h0000006b,32'h0000007c,32'h000033d4);
2411
test.u_cmp(32'h00000035,32'h0000007c,32'h000019ac);
2412
test.u_cmp(32'h0000011b,32'h00000059,32'h00006263);
2413
test.u_cmp(32'h7fffff72,32'h00000059,32'h7fffcea2);
2414
test.u_cmp(32'h000007c7,32'h00000041,32'h0001f987);
2415
test.u_cmp(32'h000003e3,32'h00000041,32'h0000fca3);
2416
test.u_cmp(32'h0000020e,32'h00000040,32'h00008380);
2417
test.u_cmp(32'h00000107,32'h00000040,32'h000041c0);
2418
test.u_cmp(32'h000004fd,32'h00000078,32'h00025698);
2419
test.u_cmp(32'h7ffffd81,32'h00000078,32'hfffed478);
2420
test.u_cmp(32'h00000440,32'h0000000e,32'h00003b80);
2421
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
2422
test.u_cmp(32'h00000570,32'h00000054,32'h0001c8c0);
2423
test.u_cmp(32'h000002b8,32'h00000054,32'h0000e460);
2424
test.u_cmp(32'h0000005c,32'h0000007d,32'h00002cec);
2425
test.u_cmp(32'h7fffffd2,32'h0000007d,32'h7fffe98a);
2426
test.u_cmp(32'h00000117,32'h00000059,32'h000060ff);
2427
test.u_cmp(32'h7fffff74,32'h00000059,32'h7fffcf54);
2428
test.u_cmp(32'h0000053a,32'h00000007,32'h00002496);
2429
test.u_cmp(32'h7ffffd63,32'h00000007,32'h7fffedb5);
2430
test.u_cmp(32'h00000532,32'h00000056,32'h0001becc);
2431
test.u_cmp(32'h7ffffd67,32'h00000056,32'hffff209a);
2432
test.u_cmp(32'h000002b3,32'h0000003b,32'h00009f41);
2433
test.u_cmp(32'h7ffffea6,32'h0000003b,32'h7fffb042);
2434
test.u_cmp(32'h000004d4,32'h00000059,32'h0001adb4);
2435
test.u_cmp(32'h7ffffd96,32'h00000059,32'h7fff2926);
2436
test.u_cmp(32'h000002cb,32'h00000016,32'h00003d72);
2437
test.u_cmp(32'h00000165,32'h00000016,32'h00001eae);
2438
test.u_cmp(32'h0000024e,32'h0000006d,32'h0000fb36);
2439
test.u_cmp(32'h00000127,32'h0000006d,32'h00007d9b);
2440
test.u_cmp(32'h00000194,32'h0000005d,32'h000092c4);
2441
test.u_cmp(32'h7fffff36,32'h0000005d,32'h7fffb69e);
2442
test.u_cmp(32'h000007e5,32'h00000070,32'h00037430);
2443
test.u_cmp(32'h000003f2,32'h00000070,32'h0001b9e0);
2444
test.u_cmp(32'h0000067a,32'h00000064,32'h000287a8);
2445
test.u_cmp(32'h7ffffcc3,32'h00000064,32'hfffebc2c);
2446
test.u_cmp(32'h0000061f,32'h0000004f,32'h0001e391);
2447
test.u_cmp(32'h7ffffcf0,32'h0000004f,32'h7fff0e10);
2448
test.u_cmp(32'h00000278,32'h00000013,32'h00002ee8);
2449
test.u_cmp(32'h7ffffec4,32'h00000013,32'h7fffe88c);
2450
test.u_cmp(32'h00000262,32'h00000044,32'h0000a208);
2451
test.u_cmp(32'h00000131,32'h00000044,32'h00005104);
2452
test.u_cmp(32'h000005e7,32'h00000001,32'h000005e7);
2453
test.u_cmp(32'h000002f3,32'h00000001,32'h000002f3);
2454
test.u_cmp(32'h000007f9,32'h0000003f,32'h0001f647);
2455
test.u_cmp(32'h7ffffc03,32'h0000003f,32'h7fff04bd);
2456
test.u_cmp(32'h000001fe,32'h00000021,32'h000041be);
2457
test.u_cmp(32'h7fffff01,32'h00000021,32'h7fffdf21);
2458
test.u_cmp(32'h000006ff,32'h00000037,32'h000180c9);
2459
test.u_cmp(32'h7ffffc80,32'h00000037,32'h7fff3f80);
2460
test.u_cmp(32'h00000341,32'h0000004b,32'h0000f40b);
2461
test.u_cmp(32'h000001a0,32'h0000004b,32'h000079e0);
2462
test.u_cmp(32'h00000751,32'h00000045,32'h0001f8d5);
2463
test.u_cmp(32'h7ffffc57,32'h00000045,32'h7fff0373);
2464
test.u_cmp(32'h000000d5,32'h00000057,32'h00004863);
2465
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
2466
test.u_cmp(32'h0000054a,32'h00000006,32'h00001fbc);
2467
test.u_cmp(32'h000002a5,32'h00000006,32'h00000fde);
2468
test.u_cmp(32'h0000052e,32'h0000007a,32'h000277ec);
2469
test.u_cmp(32'h00000297,32'h0000007a,32'h00013bf6);
2470
test.u_cmp(32'h000006cc,32'h00000067,32'h0002bc14);
2471
test.u_cmp(32'h00000366,32'h00000067,32'h00015e0a);
2472
test.u_cmp(32'h000004cd,32'h0000000a,32'h00003002);
2473
test.u_cmp(32'h00000266,32'h0000000a,32'h000017fc);
2474
test.u_cmp(32'h000007b3,32'h00000013,32'h00009249);
2475
test.u_cmp(32'h7ffffc26,32'h00000013,32'h7fffb6d2);
2476
test.u_cmp(32'h00000594,32'h0000007d,32'h0002b944);
2477
test.u_cmp(32'h7ffffd36,32'h0000007d,32'h7ffea35e);
2478
test.u_cmp(32'h0000041b,32'h00000010,32'h000041b0);
2479
test.u_cmp(32'h7ffffdf2,32'h00000010,32'hffffdf20);
2480
test.u_cmp(32'h00000786,32'h00000014,32'h00009678);
2481
test.u_cmp(32'h000003c3,32'h00000014,32'h00004b3c);
2482
test.u_cmp(32'h00000761,32'h00000015,32'h00009af5);
2483
test.u_cmp(32'h000003b0,32'h00000015,32'h00004d70);
2484
test.u_cmp(32'h00000758,32'h00000016,32'h0000a190);
2485
test.u_cmp(32'h7ffffc54,32'h00000016,32'hffffaf38);
2486
test.u_cmp(32'h00000756,32'h0000003a,32'h0001a97c);
2487
test.u_cmp(32'h7ffffc55,32'h0000003a,32'hffff2b42);
2488
test.u_cmp(32'h0000032b,32'h0000004a,32'h0000ea6e);
2489
test.u_cmp(32'h00000195,32'h0000004a,32'h00007512);
2490
test.u_cmp(32'h000005b5,32'h0000002d,32'h000100d1);
2491
test.u_cmp(32'h7ffffd25,32'h0000002d,32'h7fff7f81);
2492
test.u_cmp(32'h0000016d,32'h00000025,32'h000034c1);
2493
test.u_cmp(32'h000000b6,32'h00000025,32'h00001a4e);
2494
test.u_cmp(32'h00000425,32'h0000005e,32'h00018596);
2495
test.u_cmp(32'h00000212,32'h0000005e,32'h0000c29c);
2496
test.u_cmp(32'h0000000a,32'h00000051,32'h0000032a);
2497
test.u_cmp(32'h00000005,32'h00000051,32'h00000195);
2498
test.u_cmp(32'h00000783,32'h00000043,32'h0001f749);
2499
test.u_cmp(32'h000003c1,32'h00000043,32'h0000fb83);
2500
test.u_cmp(32'h000000e1,32'h00000058,32'h00004d58);
2501
test.u_cmp(32'h00000070,32'h00000058,32'h00002680);
2502
test.u_cmp(32'h00000547,32'h00000006,32'h00001faa);
2503
test.u_cmp(32'h000002a3,32'h00000006,32'h00000fd2);
2504
test.u_cmp(32'h0000052f,32'h0000007a,32'h00027866);
2505
test.u_cmp(32'h00000297,32'h0000007a,32'h00013bf6);
2506
test.u_cmp(32'h000006cc,32'h00000067,32'h0002bc14);
2507
test.u_cmp(32'h00000366,32'h00000067,32'h00015e0a);
2508
test.u_cmp(32'h000004cd,32'h0000000a,32'h00003002);
2509
test.u_cmp(32'h00000266,32'h0000000a,32'h000017fc);
2510
test.u_cmp(32'h0000054d,32'h00000055,32'h0001c291);
2511
test.u_cmp(32'h000002a6,32'h00000055,32'h0000e11e);
2512
test.u_cmp(32'h000002ac,32'h0000003b,32'h00009da4);
2513
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
2514
test.u_cmp(32'h000004d5,32'h00000059,32'h0001ae0d);
2515
test.u_cmp(32'h7ffffd95,32'h00000059,32'h7fff28cd);
2516
test.u_cmp(32'h00000036,32'h00000052,32'h0000114c);
2517
test.u_cmp(32'h7fffffe5,32'h00000052,32'hfffff75a);
2518
test.u_cmp(32'h00000572,32'h00000005,32'h00001b3a);
2519
test.u_cmp(32'h7ffffd47,32'h00000005,32'h7ffff263);
2520
test.u_cmp(32'h00000524,32'h00000056,32'h0001ba18);
2521
test.u_cmp(32'h00000292,32'h00000056,32'h0000dd0c);
2522
test.u_cmp(32'h00000049,32'h0000007d,32'h000023a5);
2523
test.u_cmp(32'h00000024,32'h0000007d,32'h00001194);
2524
test.u_cmp(32'h00000113,32'h00000077,32'h00007fd5);
2525
test.u_cmp(32'h7fffff76,32'h00000077,32'h7fffbfda);
2526
test.u_cmp(32'h000003bb,32'h0000001d,32'h00006c2f);
2527
test.u_cmp(32'h7ffffe22,32'h0000001d,32'h7fffc9da);
2528
test.u_cmp(32'h000000ee,32'h00000029,32'h0000261e);
2529
test.u_cmp(32'h00000077,32'h00000029,32'h0000130f);
2530
test.u_cmp(32'h00000445,32'h0000005d,32'h00018d11);
2531
test.u_cmp(32'h00000222,32'h0000005d,32'h0000c65a);
2532
test.u_cmp(32'h00000012,32'h00000051,32'h000005b2);
2533
test.u_cmp(32'h7ffffff7,32'h00000051,32'h7ffffd27);
2534
test.u_cmp(32'h00000785,32'h00000043,32'h0001f7cf);
2535
test.u_cmp(32'h000003c2,32'h00000043,32'h0000fbc6);
2536
test.u_cmp(32'h000000e2,32'h00000058,32'h00004db0);
2537
test.u_cmp(32'h00000071,32'h00000058,32'h000026d8);
2538
test.u_cmp(32'h00000547,32'h0000002a,32'h0000dda6);
2539
test.u_cmp(32'h000002a3,32'h0000002a,32'h00006ebe);
2540
test.u_cmp(32'h000003af,32'h0000004e,32'h00011f52);
2541
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
2542
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
2543
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
2544
test.u_cmp(32'h000000db,32'h00000079,32'h00006783);
2545
test.u_cmp(32'h7fffff92,32'h00000079,32'h7fffcc02);
2546
test.u_cmp(32'h000003c9,32'h00000032,32'h0000bd42);
2547
test.u_cmp(32'h000001e4,32'h00000032,32'h00005e88);
2548
test.u_cmp(32'h00000672,32'h00000033,32'h000148b6);
2549
test.u_cmp(32'h7ffffcc7,32'h00000033,32'h7fff5ba5);
2550
test.u_cmp(32'h00000364,32'h00000064,32'h00015310);
2551
test.u_cmp(32'h000001b2,32'h00000064,32'h0000a988);
2552
test.u_cmp(32'h000001d9,32'h0000005f,32'h0000af87);
2553
test.u_cmp(32'h7fffff13,32'h0000005f,32'h7fffa80d);
2554
test.u_cmp(32'h00000509,32'h00000008,32'h00002848);
2555
test.u_cmp(32'h00000284,32'h00000008,32'h00001420);
2556
test.u_cmp(32'h000007c2,32'h0000003e,32'h0001e0fc);
2557
test.u_cmp(32'h000003e1,32'h0000003e,32'h0000f07e);
2558
test.u_cmp(32'h00000310,32'h00000067,32'h00013b70);
2559
test.u_cmp(32'h00000188,32'h00000067,32'h00009db8);
2560
test.u_cmp(32'h000001c4,32'h00000071,32'h0000c784);
2561
test.u_cmp(32'h000000e2,32'h00000071,32'h000063c2);
2562
test.u_cmp(32'h0000038f,32'h0000001c,32'h000063a4);
2563
test.u_cmp(32'h000001c7,32'h0000001c,32'h000031c4);
2564
test.u_cmp(32'h000000e3,32'h00000029,32'h0000245b);
2565
test.u_cmp(32'h00000071,32'h00000029,32'h00001219);
2566
test.u_cmp(32'h00000448,32'h0000005d,32'h00018e28);
2567
test.u_cmp(32'h00000224,32'h0000005d,32'h0000c714);
2568
test.u_cmp(32'h000002ee,32'h00000017,32'h00004362);
2569
test.u_cmp(32'h00000177,32'h00000017,32'h000021b1);
2570
test.u_cmp(32'h00000245,32'h00000043,32'h0000980f);
2571
test.u_cmp(32'h00000122,32'h00000043,32'h00004be6);
2572
test.u_cmp(32'h00000712,32'h00000047,32'h0001f5fe);
2573
test.u_cmp(32'h7ffffc77,32'h00000047,32'h7fff0501);
2574
test.u_cmp(32'h000000c5,32'h00000079,32'h00005d1d);
2575
test.u_cmp(32'h00000062,32'h00000079,32'h00002e52);
2576
test.u_cmp(32'h000003ce,32'h0000001e,32'h00007224);
2577
test.u_cmp(32'h000001e7,32'h0000001e,32'h00003912);
2578
test.u_cmp(32'h0000020d,32'h00000041,32'h0000854d);
2579
test.u_cmp(32'h00000106,32'h00000041,32'h00004286);
2580
test.u_cmp(32'h00000704,32'h00000069,32'h0002e0a4);
2581
test.u_cmp(32'h00000382,32'h00000069,32'h00017052);
2582
test.u_cmp(32'h00000641,32'h0000004d,32'h0001e18d);
2583
test.u_cmp(32'h00000320,32'h0000004d,32'h0000f0a0);
2584
test.u_cmp(32'h00000091,32'h0000007b,32'h000045ab);
2585
test.u_cmp(32'h7fffffb7,32'h0000007b,32'h7fffdced);
2586
test.u_cmp(32'h00000125,32'h0000005a,32'h00006702);
2587
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
2588
test.u_cmp(32'h000007ca,32'h0000006f,32'h00036096);
2589
test.u_cmp(32'h000003e5,32'h0000006f,32'h0001b04b);
2590
test.u_cmp(32'h0000048d,32'h0000000c,32'h0000369c);
2591
test.u_cmp(32'h00000246,32'h0000000c,32'h00001b48);
2592
test.u_cmp(32'h000007a3,32'h0000003d,32'h0001d1d7);
2593
test.u_cmp(32'h000003d1,32'h0000003d,32'h0000e8cd);
2594
test.u_cmp(32'h00000318,32'h00000067,32'h00013ea8);
2595
test.u_cmp(32'h7ffffe74,32'h00000067,32'h7fff60ac);
2596
test.u_cmp(32'h000001c6,32'h0000005f,32'h0000a87a);
2597
test.u_cmp(32'h000000e3,32'h0000005f,32'h0000543d);
2598
test.u_cmp(32'h0000050e,32'h00000008,32'h00002870);
2599
test.u_cmp(32'h00000287,32'h00000008,32'h00001438);
2600
test.u_cmp(32'h000007c3,32'h0000003e,32'h0001e13a);
2601
test.u_cmp(32'h000003e1,32'h0000003e,32'h0000f07e);
2602
test.u_cmp(32'h000001f0,32'h00000021,32'h00003ff0);
2603
test.u_cmp(32'h7fffff08,32'h00000021,32'h7fffe008);
2604
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
2605
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
2606
test.u_cmp(32'h0000073f,32'h00000017,32'h0000a6a9);
2607
test.u_cmp(32'h7ffffc60,32'h00000017,32'h7fffaca0);
2608
test.u_cmp(32'h0000074f,32'h0000003a,32'h0001a7e6);
2609
test.u_cmp(32'h000003a7,32'h0000003a,32'h0000d3d6);
2610
test.u_cmp(32'h0000032d,32'h00000066,32'h000143ee);
2611
test.u_cmp(32'h00000196,32'h00000066,32'h0000a1c4);
2612
test.u_cmp(32'h00000334,32'h00000037,32'h0000b02c);
2613
test.u_cmp(32'h7ffffe66,32'h00000037,32'h7fffa7ea);
2614
test.u_cmp(32'h0000064d,32'h00000032,32'h00013b0a);
2615
test.u_cmp(32'h00000326,32'h00000032,32'h00009d6c);
2616
test.u_cmp(32'h00000193,32'h0000000c,32'h000012e4);
2617
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
2618
test.u_cmp(32'h0000029c,32'h00000045,32'h0000b40c);
2619
test.u_cmp(32'h7ffffeb2,32'h00000045,32'h7fffa5fa);
2620
test.u_cmp(32'h000005d9,32'h00000002,32'h00000bb2);
2621
test.u_cmp(32'h7ffffd13,32'h00000002,32'hfffffa26);
2622
test.u_cmp(32'h000007f6,32'h0000003f,32'h0001f58a);
2623
test.u_cmp(32'h7ffffc05,32'h0000003f,32'h7fff053b);
2624
test.u_cmp(32'h000001fd,32'h0000000f,32'h00001dd3);
2625
test.u_cmp(32'h7fffff01,32'h0000000f,32'h7ffff10f);
2626
test.u_cmp(32'h0000007f,32'h0000002d,32'h00001653);
2627
test.u_cmp(32'h7fffffc0,32'h0000002d,32'h7ffff4c0);
2628
test.u_cmp(32'h0000069f,32'h0000001c,32'h0000b964);
2629
test.u_cmp(32'h7ffffcb0,32'h0000001c,32'hffffa340);
2630
test.u_cmp(32'h00000727,32'h00000017,32'h0000a481);
2631
test.u_cmp(32'h00000393,32'h00000017,32'h00005235);
2632
test.u_cmp(32'h00000749,32'h0000003a,32'h0001a68a);
2633
test.u_cmp(32'h000003a4,32'h0000003a,32'h0000d328);
2634
test.u_cmp(32'h000001d2,32'h00000022,32'h00003de4);
2635
test.u_cmp(32'h7fffff17,32'h00000022,32'hffffe10e);
2636
test.u_cmp(32'h0000040c,32'h0000005f,32'h00018074);
2637
test.u_cmp(32'h00000206,32'h0000005f,32'h0000c03a);
2638
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
2639
test.u_cmp(32'h00000001,32'h00000051,32'h00000051);
2640
test.u_cmp(32'h00000781,32'h00000043,32'h0001f6c3);
2641
test.u_cmp(32'h000003c0,32'h00000043,32'h0000fb40);
2642
test.u_cmp(32'h0000021f,32'h00000010,32'h000021f0);
2643
test.u_cmp(32'h7ffffef0,32'h00000010,32'hffffef00);
2644
test.u_cmp(32'h00000279,32'h0000006c,32'h00010b0c);
2645
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
2646
test.u_cmp(32'h00000361,32'h00000035,32'h0000b315);
2647
test.u_cmp(32'h000001b0,32'h00000035,32'h00005970);
2648
test.u_cmp(32'h00000658,32'h0000001e,32'h0000be50);
2649
test.u_cmp(32'h7ffffcd4,32'h0000001e,32'hffffa0d8);
2650
test.u_cmp(32'h00000716,32'h00000018,32'h0000aa10);
2651
test.u_cmp(32'h7ffffc75,32'h00000018,32'hffffaaf8);
2652
test.u_cmp(32'h00000745,32'h00000016,32'h00009fee);
2653
test.u_cmp(32'h000003a2,32'h00000016,32'h00004fec);
2654
test.u_cmp(32'h000005af,32'h0000007e,32'h0002cc22);
2655
test.u_cmp(32'h000002d7,32'h0000007e,32'h000165d2);
2656
test.u_cmp(32'h00000414,32'h00000020,32'h00008280);
2657
test.u_cmp(32'h7ffffdf6,32'h00000020,32'hffffbec0);
2658
test.u_cmp(32'h00000105,32'h00000028,32'h000028c8);
2659
test.u_cmp(32'h00000082,32'h00000028,32'h00001450);
2660
test.u_cmp(32'h0000043f,32'h0000005e,32'h00018f22);
2661
test.u_cmp(32'h7ffffde0,32'h0000005e,32'hffff3840);
2662
test.u_cmp(32'h00000010,32'h0000007f,32'h000007f0);
2663
test.u_cmp(32'h00000008,32'h0000007f,32'h000003f8);
2664
test.u_cmp(32'h00000104,32'h00000077,32'h000078dc);
2665
test.u_cmp(32'h00000082,32'h00000077,32'h00003c6e);
2666
test.u_cmp(32'h00000141,32'h00000075,32'h000092b5);
2667
test.u_cmp(32'h000000a0,32'h00000075,32'h00004920);
2668
test.u_cmp(32'h000003af,32'h0000001d,32'h00006ad3);
2669
test.u_cmp(32'h000001d7,32'h0000001d,32'h0000355b);
2670
test.u_cmp(32'h000000eb,32'h00000007,32'h0000066d);
2671
test.u_cmp(32'h00000075,32'h00000007,32'h00000333);
2672
test.u_cmp(32'h000002c6,32'h00000069,32'h00012336);
2673
test.u_cmp(32'h00000163,32'h00000069,32'h0000919b);
2674
test.u_cmp(32'h0000034e,32'h00000036,32'h0000b274);
2675
test.u_cmp(32'h000001a7,32'h00000036,32'h0000593a);
2676
test.u_cmp(32'h000004ad,32'h00000076,32'h000227be);
2677
test.u_cmp(32'h00000256,32'h00000076,32'h000113a4);
2678
test.u_cmp(32'h000006ac,32'h0000004a,32'h0001edb8);
2679
test.u_cmp(32'h00000356,32'h0000004a,32'h0000f6dc);
2680
test.u_cmp(32'h00000255,32'h0000003e,32'h00009096);
2681
test.u_cmp(32'h7ffffed5,32'h0000003e,32'hffffb796);
2682
test.u_cmp(32'h000004eb,32'h00000078,32'h00024e28);
2683
test.u_cmp(32'h00000275,32'h00000078,32'h000126d8);
2684
test.u_cmp(32'h000006bb,32'h00000066,32'h0002ae82);
2685
test.u_cmp(32'h7ffffca2,32'h00000066,32'hfffea88c);
2686
test.u_cmp(32'h0000062f,32'h0000004e,32'h0001e252);
2687
test.u_cmp(32'h00000317,32'h0000004e,32'h0000f102);
2688
test.u_cmp(32'h0000008c,32'h0000007b,32'h00004344);
2689
test.u_cmp(32'h00000046,32'h0000007b,32'h000021a2);
2690
test.u_cmp(32'h00000123,32'h00000076,32'h00008622);
2691
test.u_cmp(32'h00000091,32'h00000076,32'h000042d6);
2692
test.u_cmp(32'h00000149,32'h0000005b,32'h000074f3);
2693
test.u_cmp(32'h000000a4,32'h0000005b,32'h00003a4c);
2694
test.u_cmp(32'h0000052d,32'h00000029,32'h0000d435);
2695
test.u_cmp(32'h00000296,32'h00000029,32'h00006a06);
2696
test.u_cmp(32'h000003b5,32'h00000062,32'h00016b4a);
2697
test.u_cmp(32'h7ffffe25,32'h00000062,32'hffff4a2a);
2698
test.u_cmp(32'h000001ee,32'h00000060,32'h0000b940);
2699
test.u_cmp(32'h000000f7,32'h00000060,32'h00005ca0);
2700
test.u_cmp(32'h000007fc,32'h00000040,32'h0001ff00);
2701
test.u_cmp(32'h7ffffc02,32'h00000040,32'hffff0080);
2702
test.u_cmp(32'h00000201,32'h00000040,32'h00008040);
2703
test.u_cmp(32'h00000100,32'h00000040,32'h00004000);
2704
test.u_cmp(32'h00000500,32'h00000058,32'h0001b800);
2705
test.u_cmp(32'h7ffffd80,32'h00000058,32'hffff2400);
2706
test.u_cmp(32'h000002c0,32'h0000003a,32'h00009f80);
2707
test.u_cmp(32'h00000160,32'h0000003a,32'h00004fc0);
2708
test.u_cmp(32'h000004d0,32'h00000077,32'h00023cb0);
2709
test.u_cmp(32'h00000268,32'h00000077,32'h00011e58);
2710
test.u_cmp(32'h000006b4,32'h0000004a,32'h0001f008);
2711
test.u_cmp(32'h7ffffca6,32'h0000004a,32'hffff07fc);
2712
test.u_cmp(32'h00000253,32'h0000003e,32'h0000901a);
2713
test.u_cmp(32'h7ffffed6,32'h0000003e,32'hffffb7d4);
2714
test.u_cmp(32'h00000614,32'h00000020,32'h0000c280);
2715
test.u_cmp(32'h7ffffcf6,32'h00000020,32'hffff9ec0);
2716
test.u_cmp(32'h000005fb,32'h00000050,32'h0001de70);
2717
test.u_cmp(32'h7ffffd02,32'h00000050,32'hffff10a0);
2718
test.u_cmp(32'h0000007f,32'h00000054,32'h000029ac);
2719
test.u_cmp(32'h7fffffc0,32'h00000054,32'hffffeb00);
2720
test.u_cmp(32'h00000560,32'h0000002b,32'h0000e720);
2721
test.u_cmp(32'h000002b0,32'h0000002b,32'h00007390);
2722
test.u_cmp(32'h00000158,32'h00000026,32'h00003310);
2723
test.u_cmp(32'h7fffff54,32'h00000026,32'hffffe678);
2724
test.u_cmp(32'h0000042a,32'h00000072,32'h0001dab4);
2725
test.u_cmp(32'h00000215,32'h00000072,32'h0000ed5a);
2726
test.u_cmp(32'h0000068b,32'h00000065,32'h000294d7);
2727
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
2728
test.u_cmp(32'h00000623,32'h00000062,32'h00025966);
2729
test.u_cmp(32'h00000311,32'h00000062,32'h00012c82);
2730
test.u_cmp(32'h00000609,32'h00000061,32'h00024969);
2731
test.u_cmp(32'h00000304,32'h00000061,32'h00012484);
2732
test.u_cmp(32'h00000603,32'h0000004f,32'h0001daed);
2733
test.u_cmp(32'h00000301,32'h0000004f,32'h0000ed4f);
2734
test.u_cmp(32'h00000081,32'h00000055,32'h00002ad5);
2735
test.u_cmp(32'h00000040,32'h00000055,32'h00001540);
2736
test.u_cmp(32'h000007a1,32'h00000042,32'h0001f782);
2737
test.u_cmp(32'h000003d0,32'h00000042,32'h0000fba0);
2738
test.u_cmp(32'h00000217,32'h00000040,32'h000085c0);
2739
test.u_cmp(32'h7ffffef4,32'h00000040,32'hffffbd00);
2740
test.u_cmp(32'h000004fb,32'h00000078,32'h000255a8);
2741
test.u_cmp(32'h7ffffd82,32'h00000078,32'hfffed4f0);
2742
test.u_cmp(32'h000006bf,32'h00000066,32'h0002b01a);
2743
test.u_cmp(32'h7ffffca0,32'h00000066,32'hfffea7c0);
2744
test.u_cmp(32'h00000630,32'h00000062,32'h00025e60);
2745
test.u_cmp(32'h00000318,32'h00000062,32'h00012f30);
2746
test.u_cmp(32'h000004f4,32'h00000009,32'h00002c94);
2747
test.u_cmp(32'h7ffffd86,32'h00000009,32'h7fffe9b6);
2748
test.u_cmp(32'h00000543,32'h00000055,32'h0001bf3f);
2749
test.u_cmp(32'h000002a1,32'h00000055,32'h0000df75);
2750
test.u_cmp(32'h000002af,32'h0000003b,32'h00009e55);
2751
test.u_cmp(32'h00000157,32'h0000003b,32'h00004f0d);
2752
test.u_cmp(32'h0000062b,32'h0000001f,32'h0000bf35);
2753
test.u_cmp(32'h00000315,32'h0000001f,32'h00005f8b);
2754
test.u_cmp(32'h000005f6,32'h00000050,32'h0001dce0);
2755
test.u_cmp(32'h7ffffd05,32'h00000050,32'hffff1190);
2756
test.u_cmp(32'h0000007e,32'h0000007c,32'h00003d08);
2757
test.u_cmp(32'h7fffffc1,32'h0000007c,32'hffffe17c);
2758
test.u_cmp(32'h00000120,32'h00000077,32'h000085e0);
2759
test.u_cmp(32'h7fffff70,32'h00000077,32'h7fffbd10);
2760
test.u_cmp(32'h00000148,32'h00000075,32'h000095e8);
2761
test.u_cmp(32'h000000a4,32'h00000075,32'h00004af4);
2762
test.u_cmp(32'h000003ae,32'h00000033,32'h0000bbaa);
2763
test.u_cmp(32'h000001d7,32'h00000033,32'h00005dd5);
2764
test.u_cmp(32'h00000495,32'h00000075,32'h00021819);
2765
test.u_cmp(32'h7ffffdb5,32'h00000075,32'h7ffef3b9);
2766
test.u_cmp(32'h000006a6,32'h00000066,32'h0002a624);
2767
test.u_cmp(32'h00000353,32'h00000066,32'h00015312);
2768
test.u_cmp(32'h0000062a,32'h0000004e,32'h0001e0cc);
2769
test.u_cmp(32'h00000315,32'h0000004e,32'h0000f066);
2770
test.u_cmp(32'h00000275,32'h0000003d,32'h000095e1);
2771
test.u_cmp(32'h7ffffec5,32'h0000003d,32'h7fffb4f1);
2772
test.u_cmp(32'h0000061d,32'h00000020,32'h0000c3a0);
2773
test.u_cmp(32'h7ffffcf1,32'h00000020,32'hffff9e20);
2774
test.u_cmp(32'h00000707,32'h00000038,32'h00018988);
2775
test.u_cmp(32'h00000383,32'h00000038,32'h0000c4a8);
2776
test.u_cmp(32'h0000033f,32'h0000004a,32'h0000f036);
2777
test.u_cmp(32'h7ffffe60,32'h0000004a,32'hffff87c0);
2778
test.u_cmp(32'h000005b0,32'h0000002d,32'h0000fff0);
2779
test.u_cmp(32'h7ffffd28,32'h0000002d,32'h7fff8008);
2780
test.u_cmp(32'h0000016c,32'h0000000b,32'h00000fa4);
2781
test.u_cmp(32'h000000b6,32'h0000000b,32'h000007d2);
2782
test.u_cmp(32'h0000005b,32'h00000002,32'h000000b6);
2783
test.u_cmp(32'h7fffffd2,32'h00000002,32'hffffffa4);
2784
test.u_cmp(32'h000002ea,32'h00000068,32'h00012f10);
2785
test.u_cmp(32'h00000175,32'h00000068,32'h00009788);
2786
test.u_cmp(32'h000001bb,32'h0000005e,32'h0000a2aa);
2787
test.u_cmp(32'h7fffff22,32'h0000005e,32'hffffae7c);
2788
test.u_cmp(32'h00000511,32'h00000028,32'h0000caa8);
2789
test.u_cmp(32'h7ffffd77,32'h00000028,32'hffff9a98);
2790
test.u_cmp(32'h000003bc,32'h00000062,32'h00016df8);
2791
test.u_cmp(32'h7ffffe22,32'h00000062,32'hffff4904);
2792
test.u_cmp(32'h00000311,32'h00000038,32'h0000abb8);
2793
test.u_cmp(32'h7ffffe77,32'h00000038,32'hffffaa08);
2794
test.u_cmp(32'h000004bc,32'h0000005a,32'h0001aa18);
2795
test.u_cmp(32'h7ffffda2,32'h0000005a,32'hffff2af4);
2796
test.u_cmp(32'h0000002f,32'h0000007e,32'h00001722);
2797
test.u_cmp(32'h00000017,32'h0000007e,32'h00000b52);
2798
test.u_cmp(32'h000003f4,32'h0000001f,32'h00007a8c);
2799
test.u_cmp(32'h7ffffe06,32'h0000001f,32'h7fffc2ba);
2800
test.u_cmp(32'h000000fd,32'h00000007,32'h000006eb);
2801
test.u_cmp(32'h7fffff81,32'h00000007,32'h7ffffc87);
2802
test.u_cmp(32'h0000003f,32'h0000002f,32'h00000b91);
2803
test.u_cmp(32'h7fffffe0,32'h0000002f,32'h7ffffa20);
2804
test.u_cmp(32'h0000068f,32'h00000034,32'h0001550c);
2805
test.u_cmp(32'h00000347,32'h00000034,32'h0000aa6c);
2806
test.u_cmp(32'h0000035d,32'h00000065,32'h000153b1);
2807
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
2808
test.u_cmp(32'h00000328,32'h00000037,32'h0000ad98);
2809
test.u_cmp(32'h00000194,32'h00000037,32'h000056cc);
2810
test.u_cmp(32'h000004b6,32'h00000076,32'h00022be4);
2811
test.u_cmp(32'h7ffffda5,32'h00000076,32'hfffeea0e);
2812
test.u_cmp(32'h000006ae,32'h0000004a,32'h0001ee4c);
2813
test.u_cmp(32'h00000357,32'h0000004a,32'h0000f726);
2814
test.u_cmp(32'h00000254,32'h00000012,32'h000029e8);
2815
test.u_cmp(32'h7ffffed6,32'h00000012,32'hffffeb0c);
2816
test.u_cmp(32'h00000095,32'h0000002c,32'h0000199c);
2817
test.u_cmp(32'h7fffffb5,32'h0000002c,32'hfffff31c);
2818
test.u_cmp(32'h0000045b,32'h00000073,32'h0001f4e1);
2819
test.u_cmp(32'h7ffffdd2,32'h00000073,32'h7fff0556);
2820
test.u_cmp(32'h00000697,32'h0000004b,32'h0001ee3d);
2821
test.u_cmp(32'h7ffffcb4,32'h0000004b,32'h7fff08bc);
2822
test.u_cmp(32'h0000025a,32'h0000003e,32'h000091cc);
2823
test.u_cmp(32'h7ffffed3,32'h0000003e,32'hffffb71a);
2824
test.u_cmp(32'h00000616,32'h00000020,32'h0000c2c0);
2825
test.u_cmp(32'h7ffffcf5,32'h00000020,32'hffff9ea0);
2826
test.u_cmp(32'h00000705,32'h00000018,32'h0000a878);
2827
test.u_cmp(32'h00000382,32'h00000018,32'h00005430);
2828
test.u_cmp(32'h00000741,32'h0000003a,32'h0001a4ba);
2829
test.u_cmp(32'h000003a0,32'h0000003a,32'h0000d240);
2830
test.u_cmp(32'h000001d0,32'h0000000e,32'h00001960);
2831
test.u_cmp(32'h7fffff18,32'h0000000e,32'hfffff350);
2832
test.u_cmp(32'h0000028c,32'h00000045,32'h0000afbc);
2833
test.u_cmp(32'h00000146,32'h00000045,32'h000057de);
2834
test.u_cmp(32'h000005dd,32'h0000002e,32'h00010db6);
2835
test.u_cmp(32'h7ffffd11,32'h0000002e,32'hffff790e);
2836
test.u_cmp(32'h00000177,32'h00000025,32'h00003633);
2837
test.u_cmp(32'h7fffff44,32'h00000025,32'h7fffe4d4);
2838
test.u_cmp(32'h00000423,32'h0000005e,32'h000184da);
2839
test.u_cmp(32'h00000211,32'h0000005e,32'h0000c23e);
2840
test.u_cmp(32'h000002f7,32'h00000039,32'h0000a8ff);
2841
test.u_cmp(32'h7ffffe84,32'h00000039,32'h7fffab64);
2842
test.u_cmp(32'h000004c3,32'h00000077,32'h000236a5);
2843
test.u_cmp(32'h00000261,32'h00000077,32'h00011b17);
2844
test.u_cmp(32'h0000044f,32'h00000022,32'h0000927e);
2845
test.u_cmp(32'h00000227,32'h00000022,32'h0000492e);
2846
test.u_cmp(32'h000003ed,32'h00000060,32'h000178e0);
2847
test.u_cmp(32'h000001f6,32'h00000060,32'h0000bc40);
2848
test.u_cmp(32'h00000304,32'h00000038,32'h0000a8e0);
2849
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
2850
test.u_cmp(32'h000004bf,32'h00000076,32'h0002300a);
2851
test.u_cmp(32'h7ffffda0,32'h00000076,32'hfffee7c0);
2852
test.u_cmp(32'h000006b0,32'h00000066,32'h0002aa20);
2853
test.u_cmp(32'h00000358,32'h00000066,32'h00015510);
2854
test.u_cmp(32'h0000062c,32'h0000004e,32'h0001e168);
2855
test.u_cmp(32'h00000316,32'h0000004e,32'h0000f0b4);
2856
test.u_cmp(32'h00000275,32'h0000003d,32'h000095e1);
2857
test.u_cmp(32'h7ffffec5,32'h0000003d,32'h7fffb4f1);
2858
test.u_cmp(32'h0000061d,32'h00000020,32'h0000c3a0);
2859
test.u_cmp(32'h7ffffcf1,32'h00000020,32'hffff9e20);
2860
test.u_cmp(32'h000005f9,32'h00000050,32'h0001ddd0);
2861
test.u_cmp(32'h7ffffd03,32'h00000050,32'hffff10f0);
2862
test.u_cmp(32'h0000007f,32'h0000007c,32'h00003d84);
2863
test.u_cmp(32'h7fffffc0,32'h0000007c,32'hffffe100);
2864
test.u_cmp(32'h000003e0,32'h00000031,32'h0000bde0);
2865
test.u_cmp(32'h000001f0,32'h00000031,32'h00005ef0);
2866
test.u_cmp(32'h00000678,32'h0000001d,32'h0000bb98);
2867
test.u_cmp(32'h7ffffcc4,32'h0000001d,32'h7fffa234);
2868
test.u_cmp(32'h0000071e,32'h00000038,32'h00018e90);
2869
test.u_cmp(32'h7ffffc71,32'h00000038,32'hffff38b8);
2870
test.u_cmp(32'h000001c7,32'h00000022,32'h00003c6e);
2871
test.u_cmp(32'h000000e3,32'h00000022,32'h00001e26);
2872
test.u_cmp(32'h0000040f,32'h00000071,32'h0001ca9f);
2873
test.u_cmp(32'h00000207,32'h00000071,32'h0000e517);
2874
test.u_cmp(32'h00000684,32'h00000065,32'h00029214);
2875
test.u_cmp(32'h00000342,32'h00000065,32'h0001490a);
2876
test.u_cmp(32'h00000621,32'h0000004e,32'h0001de0e);
2877
test.u_cmp(32'h00000310,32'h0000004e,32'h0000eee0);
2878
test.u_cmp(32'h00000277,32'h0000003d,32'h0000965b);
2879
test.u_cmp(32'h7ffffec4,32'h0000003d,32'h7fffb4b4);
2880
test.u_cmp(32'h000004e3,32'h00000058,32'h0001ae08);
2881
test.u_cmp(32'h00000271,32'h00000058,32'h0000d6d8);
2882
test.u_cmp(32'h00000039,32'h0000007e,32'h00001c0e);
2883
test.u_cmp(32'h7fffffe3,32'h0000007e,32'hfffff1ba);
2884
test.u_cmp(32'h000003f1,32'h0000001f,32'h00007a2f);
2885
test.u_cmp(32'h7ffffe07,32'h0000001f,32'h7fffc2d9);
2886
test.u_cmp(32'h000000fc,32'h00000007,32'h000006e4);
2887
test.u_cmp(32'h7fffff82,32'h00000007,32'h7ffffc8e);
2888
test.u_cmp(32'h0000003f,32'h00000001,32'h0000003f);
2889
test.u_cmp(32'h7fffffe0,32'h00000001,32'h7fffffe0);
2890
test.u_cmp(32'h000002f1,32'h00000068,32'h000131e8);
2891
test.u_cmp(32'h7ffffe87,32'h00000068,32'hffff66d8);
2892
test.u_cmp(32'h000001bd,32'h00000072,32'h0000c62a);
2893
test.u_cmp(32'h7fffff21,32'h00000072,32'hffff9cb2);
2894
test.u_cmp(32'h00000390,32'h0000001c,32'h000063c0);
2895
test.u_cmp(32'h7ffffe38,32'h0000001c,32'hffffce20);
2896
test.u_cmp(32'h000000e4,32'h00000029,32'h00002484);
2897
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
2898
test.u_cmp(32'h000006b9,32'h0000001b,32'h0000b583);
2899
test.u_cmp(32'h7ffffca3,32'h0000001b,32'h7fffa531);
2900
test.u_cmp(32'h0000072e,32'h00000017,32'h0000a522);
2901
test.u_cmp(32'h00000397,32'h00000017,32'h00005291);
2902
test.u_cmp(32'h000005b5,32'h00000052,32'h0001d3fa);
2903
test.u_cmp(32'h7ffffd25,32'h00000052,32'hffff15da);
2904
test.u_cmp(32'h0000006e,32'h0000007c,32'h00003548);
2905
test.u_cmp(32'h00000037,32'h0000007c,32'h00001aa4);
2906
test.u_cmp(32'h000003e4,32'h0000001f,32'h0000789c);
2907
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
2908
test.u_cmp(32'h000000f9,32'h00000029,32'h000027e1);
2909
test.u_cmp(32'h7fffff83,32'h00000029,32'h7fffebfb);
2910
test.u_cmp(32'h000006be,32'h0000001b,32'h0000b60a);
2911
test.u_cmp(32'h7ffffca1,32'h0000001b,32'h7fffa4fb);
2912
test.u_cmp(32'h0000072f,32'h00000017,32'h0000a539);
2913
test.u_cmp(32'h00000397,32'h00000017,32'h00005291);
2914
test.u_cmp(32'h0000074b,32'h0000003a,32'h0001a6fe);
2915
test.u_cmp(32'h000003a5,32'h0000003a,32'h0000d362);
2916
test.u_cmp(32'h000001d2,32'h0000000e,32'h0000197c);
2917
test.u_cmp(32'h7fffff17,32'h0000000e,32'hfffff342);
2918
test.u_cmp(32'h00000074,32'h0000002d,32'h00001464);
2919
test.u_cmp(32'h7fffffc6,32'h0000002d,32'h7ffff5ce);
2920
test.u_cmp(32'h00000463,32'h00000074,32'h0001fcdc);
2921
test.u_cmp(32'h00000231,32'h00000074,32'h0000fe34);
2922
test.u_cmp(32'h00000467,32'h0000000d,32'h0000393b);
2923
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
2924
test.u_cmp(32'h00000799,32'h0000003c,32'h0001c7dc);
2925
test.u_cmp(32'h7ffffc33,32'h0000003c,32'hffff1bf4);
2926
test.u_cmp(32'h0000031a,32'h00000049,32'h0000e26a);
2927
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
2928
test.u_cmp(32'h00000747,32'h00000045,32'h0001f623);
2929
test.u_cmp(32'h000003a3,32'h00000045,32'h0000faef);
2930
test.u_cmp(32'h0000022e,32'h00000011,32'h0000250e);
2931
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
2932
test.u_cmp(32'h00000275,32'h0000006c,32'h0001095c);
2933
test.u_cmp(32'h7ffffec5,32'h0000006c,32'hffff7b1c);
2934
test.u_cmp(32'h0000019e,32'h00000073,32'h0000b9fa);
2935
test.u_cmp(32'h7fffff31,32'h00000073,32'h7fffa303);
2936
test.u_cmp(32'h00000168,32'h0000005c,32'h00008160);
2937
test.u_cmp(32'h000000b4,32'h0000005c,32'h000040b0);
2938
test.u_cmp(32'h00000526,32'h00000029,32'h0000d316);
2939
test.u_cmp(32'h00000293,32'h00000029,32'h0000698b);
2940
test.u_cmp(32'h00000149,32'h00000026,32'h000030d6);
2941
test.u_cmp(32'h000000a4,32'h00000026,32'h00001858);
2942
test.u_cmp(32'h0000042e,32'h0000005e,32'h000188e4);
2943
test.u_cmp(32'h00000217,32'h0000005e,32'h0000c472);
2944
test.u_cmp(32'h0000000c,32'h0000007f,32'h000005f4);
2945
test.u_cmp(32'h00000006,32'h0000007f,32'h000002fa);
2946
test.u_cmp(32'h000003fd,32'h0000001f,32'h00007ba3);
2947
test.u_cmp(32'h7ffffe01,32'h0000001f,32'h7fffc21f);
2948
test.u_cmp(32'h00000201,32'h0000006f,32'h0000de6f);
2949
test.u_cmp(32'h00000100,32'h0000006f,32'h00006f00);
2950
test.u_cmp(32'h0000037f,32'h0000001b,32'h00005e65);
2951
test.u_cmp(32'h7ffffe40,32'h0000001b,32'h7fffd0c0);
2952
test.u_cmp(32'h00000221,32'h0000006e,32'h0000ea2e);
2953
test.u_cmp(32'h00000110,32'h0000006e,32'h000074e0);
2954
test.u_cmp(32'h00000189,32'h0000005d,32'h00008ec5);
2955
test.u_cmp(32'h000000c4,32'h0000005d,32'h00004734);
2956
test.u_cmp(32'h0000051d,32'h00000028,32'h0000cc88);
2957
test.u_cmp(32'h7ffffd71,32'h00000028,32'hffff99a8);
2958
test.u_cmp(32'h00000147,32'h0000000a,32'h00000cc6);
2959
test.u_cmp(32'h000000a3,32'h0000000a,32'h0000065e);
2960
test.u_cmp(32'h000002af,32'h0000006a,32'h00011c76);
2961
test.u_cmp(32'h00000157,32'h0000006a,32'h00008e06);
2962
test.u_cmp(32'h00000354,32'h0000001a,32'h00005688);
2963
test.u_cmp(32'h7ffffe56,32'h0000001a,32'hffffd4bc);
2964
test.u_cmp(32'h0000022b,32'h00000042,32'h00008f16);
2965
test.u_cmp(32'h00000115,32'h00000042,32'h0000476a);
2966
test.u_cmp(32'h0000070b,32'h00000047,32'h0001f40d);
2967
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
2968
test.u_cmp(32'h0000023d,32'h0000003f,32'h00008d03);
2969
test.u_cmp(32'h7ffffee1,32'h0000003f,32'h7fffb95f);
2970
test.u_cmp(32'h0000060f,32'h00000020,32'h0000c1e0);
2971
test.u_cmp(32'h00000307,32'h00000020,32'h000060e0);
2972
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
2973
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
2974
test.u_cmp(32'h00000740,32'h00000016,32'h00009f80);
2975
test.u_cmp(32'h000003a0,32'h00000016,32'h00004fc0);
2976
test.u_cmp(32'h00000750,32'h00000016,32'h0000a0e0);
2977
test.u_cmp(32'h7ffffc58,32'h00000016,32'hffffaf90);
2978
test.u_cmp(32'h000005ac,32'h00000052,32'h0001d118);
2979
test.u_cmp(32'h000002d6,32'h00000052,32'h0000e88c);
2980
test.u_cmp(32'h0000006b,32'h0000007c,32'h000033d4);
2981
test.u_cmp(32'h00000035,32'h0000007c,32'h000019ac);
2982
test.u_cmp(32'h000003e5,32'h0000001f,32'h000078bb);
2983
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
2984
test.u_cmp(32'h000000f9,32'h00000029,32'h000027e1);
2985
test.u_cmp(32'h7fffff83,32'h00000029,32'h7fffebfb);
2986
test.u_cmp(32'h00000442,32'h0000005d,32'h00018bfa);
2987
test.u_cmp(32'h00000221,32'h0000005d,32'h0000c5fd);
2988
test.u_cmp(32'h000002ef,32'h00000039,32'h0000a737);
2989
test.u_cmp(32'h00000177,32'h00000039,32'h0000537f);
2990
test.u_cmp(32'h000004c5,32'h00000059,32'h0001a87d);
2991
test.u_cmp(32'h00000262,32'h00000059,32'h0000d412);
2992
test.u_cmp(32'h00000032,32'h0000007e,32'h0000189c);
2993
test.u_cmp(32'h7fffffe7,32'h0000007e,32'hfffff3b2);
2994
test.u_cmp(32'h0000010d,32'h00000059,32'h00005d85);
2995
test.u_cmp(32'h00000086,32'h00000059,32'h00002e96);
2996
test.u_cmp(32'h000007c4,32'h0000006f,32'h00035dfc);
2997
test.u_cmp(32'h000003e2,32'h0000006f,32'h0001aefe);
2998
test.u_cmp(32'h00000671,32'h00000064,32'h00028424);
2999
test.u_cmp(32'h7ffffcc7,32'h00000064,32'hfffebdbc);
3000
test.u_cmp(32'h0000061d,32'h0000004f,32'h0001e2f3);
3001
test.u_cmp(32'h7ffffcf1,32'h0000004f,32'h7fff0e5f);
3002
test.u_cmp(32'h00000278,32'h0000003d,32'h00009698);
3003
test.u_cmp(32'h7ffffec4,32'h0000003d,32'h7fffb4b4);
3004
test.u_cmp(32'h000004e2,32'h00000058,32'h0001adb0);
3005
test.u_cmp(32'h00000271,32'h00000058,32'h0000d6d8);
3006
test.u_cmp(32'h000002c7,32'h00000016,32'h00003d1a);
3007
test.u_cmp(32'h00000163,32'h00000016,32'h00001e82);
3008
test.u_cmp(32'h0000024f,32'h0000006d,32'h0000fba3);
3009
test.u_cmp(32'h00000127,32'h0000006d,32'h00007d9b);
3010
test.u_cmp(32'h00000194,32'h00000073,32'h0000b57c);
3011
test.u_cmp(32'h7fffff36,32'h00000073,32'h7fffa542);
3012
test.u_cmp(32'h00000165,32'h0000005c,32'h0000804c);
3013
test.u_cmp(32'h000000b2,32'h0000005c,32'h00003ff8);
3014
test.u_cmp(32'h00000526,32'h00000007,32'h0000240a);
3015
test.u_cmp(32'h00000293,32'h00000007,32'h00001205);
3016
test.u_cmp(32'h000007c9,32'h0000003e,32'h0001e2ae);
3017
test.u_cmp(32'h000003e4,32'h0000003e,32'h0000f138);
3018
test.u_cmp(32'h000001f2,32'h00000021,32'h00004032);
3019
test.u_cmp(32'h7fffff07,32'h00000021,32'h7fffdfe7);
3020
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
3021
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
3022
test.u_cmp(32'h0000073f,32'h00000039,32'h00019d07);
3023
test.u_cmp(32'h7ffffc60,32'h00000039,32'h7fff3160);
3024
test.u_cmp(32'h000001cf,32'h0000000e,32'h00001952);
3025
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
3026
test.u_cmp(32'h0000028d,32'h0000006b,32'h000110ef);
3027
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
3028
test.u_cmp(32'h000001a4,32'h00000072,32'h0000bb08);
3029
test.u_cmp(32'h000000d2,32'h00000072,32'h00005d84);
3030
test.u_cmp(32'h00000397,32'h0000001c,32'h00006484);
3031
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
3032
test.u_cmp(32'h000000e5,32'h00000029,32'h000024ad);
3033
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
3034
test.u_cmp(32'h000006b9,32'h00000035,32'h0001644d);
3035
test.u_cmp(32'h7ffffca3,32'h00000035,32'h7fff4dbf);
3036
test.u_cmp(32'h00000352,32'h00000065,32'h00014f5a);
3037
test.u_cmp(32'h7ffffe57,32'h00000065,32'h7fff5853);
3038
test.u_cmp(32'h0000032b,32'h00000019,32'h00004f33);
3039
test.u_cmp(32'h00000195,32'h00000019,32'h0000278d);
3040
test.u_cmp(32'h00000236,32'h00000042,32'h000091ec);
3041
test.u_cmp(32'h7ffffee5,32'h00000042,32'hffffb70a);
3042
test.u_cmp(32'h0000070e,32'h00000047,32'h0001f4e2);
3043
test.u_cmp(32'h00000387,32'h00000047,32'h0000fa71);
3044
test.u_cmp(32'h000000c4,32'h00000079,32'h00005ca4);
3045
test.u_cmp(32'h00000062,32'h00000079,32'h00002e52);
3046
test.u_cmp(32'h00000131,32'h00000076,32'h00008c96);
3047
test.u_cmp(32'h7fffff67,32'h00000076,32'hffffb97a);
3048
test.u_cmp(32'h0000014d,32'h0000005b,32'h0000765f);
3049
test.u_cmp(32'h000000a6,32'h0000005b,32'h00003b02);
3050
test.u_cmp(32'h000007d4,32'h00000041,32'h0001fcd4);
3051
test.u_cmp(32'h7ffffc16,32'h00000041,32'h7fff0196);
3052
test.u_cmp(32'h000000f5,32'h00000078,32'h000072d8);
3053
test.u_cmp(32'h7fffff85,32'h00000078,32'hffffc658);
3054
test.u_cmp(32'h000003c2,32'h00000032,32'h0000bbe4);
3055
test.u_cmp(32'h000001e1,32'h00000032,32'h00005df2);
3056
test.u_cmp(32'h00000490,32'h00000075,32'h000215d0);
3057
test.u_cmp(32'h00000248,32'h00000075,32'h00010ae8);
3058
test.u_cmp(32'h0000045c,32'h0000000e,32'h00003d08);
3059
test.u_cmp(32'h7ffffdd2,32'h0000000e,32'hffffe17c);
3060
test.u_cmp(32'h00000797,32'h0000003c,32'h0001c764);
3061
test.u_cmp(32'h7ffffc34,32'h0000003c,32'hffff1c30);
3062
test.u_cmp(32'h0000031b,32'h00000049,32'h0000e2b3);
3063
test.u_cmp(32'h7ffffe72,32'h00000049,32'h7fff8e82);
3064
test.u_cmp(32'h000005b9,32'h0000002d,32'h00010185);
3065
test.u_cmp(32'h7ffffd23,32'h0000002d,32'h7fff7f27);
3066
test.u_cmp(32'h0000016e,32'h00000025,32'h000034e6);
3067
test.u_cmp(32'h000000b7,32'h00000025,32'h00001a73);
3068
test.u_cmp(32'h000006db,32'h0000001a,32'h0000b23e);
3069
test.u_cmp(32'h7ffffc92,32'h0000001a,32'hffffa6d4);
3070
test.u_cmp(32'h00000736,32'h00000039,32'h00019b06);
3071
test.u_cmp(32'h7ffffc65,32'h00000039,32'h7fff327d);
3072
test.u_cmp(32'h000001cd,32'h00000022,32'h00003d3a);
3073
test.u_cmp(32'h000000e6,32'h00000022,32'h00001e8c);
3074
test.u_cmp(32'h000006f3,32'h00000037,32'h00017e35);
3075
test.u_cmp(32'h7ffffc86,32'h00000037,32'h7fff40ca);
3076
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
3077
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
3078
test.u_cmp(32'h00000751,32'h0000006b,32'h00030edb);
3079
test.u_cmp(32'h7ffffc57,32'h0000006b,32'h7ffe785d);
3080
test.u_cmp(32'h00000655,32'h0000004d,32'h0001e791);
3081
test.u_cmp(32'h7ffffcd5,32'h0000004d,32'h7fff0c11);
3082
test.u_cmp(32'h00000096,32'h0000007b,32'h00004812);
3083
test.u_cmp(32'h7fffffb5,32'h0000007b,32'h7fffdbf7);
3084
test.u_cmp(32'h000003da,32'h00000032,32'h0000c094);
3085
test.u_cmp(32'h7ffffe13,32'h00000032,32'hffff9fb6);
3086
test.u_cmp(32'h0000048a,32'h00000075,32'h00021312);
3087
test.u_cmp(32'h00000245,32'h00000075,32'h00010989);
3088
test.u_cmp(32'h0000045d,32'h0000000e,32'h00003d16);
3089
test.u_cmp(32'h7ffffdd1,32'h0000000e,32'hffffe16e);
3090
test.u_cmp(32'h00000797,32'h0000003c,32'h0001c764);
3091
test.u_cmp(32'h7ffffc34,32'h0000003c,32'hffff1c30);
3092
test.u_cmp(32'h0000031b,32'h00000067,32'h00013fdd);
3093
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
3094
test.u_cmp(32'h00000339,32'h00000037,32'h0000b13f);
3095
test.u_cmp(32'h7ffffe63,32'h00000037,32'h7fffa745);
3096
test.u_cmp(32'h0000064e,32'h00000032,32'h00013b3c);
3097
test.u_cmp(32'h00000327,32'h00000032,32'h00009d9e);
3098
test.u_cmp(32'h00000193,32'h00000024,32'h000038ac);
3099
test.u_cmp(32'h7fffff36,32'h00000024,32'hffffe398);
3100
test.u_cmp(32'h000006e4,32'h00000019,32'h0000ac44);
3101
test.u_cmp(32'h00000372,32'h00000019,32'h00005622);
3102
test.u_cmp(32'h00000739,32'h00000039,32'h00019bb1);
3103
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
3104
test.u_cmp(32'h00000332,32'h0000004a,32'h0000ec74);
3105
test.u_cmp(32'h7ffffe67,32'h0000004a,32'hffff89c6);
3106
test.u_cmp(32'h000005b3,32'h0000002d,32'h00010077);
3107
test.u_cmp(32'h7ffffd26,32'h0000002d,32'h7fff7fae);
3108
test.u_cmp(32'h00000394,32'h00000063,32'h0001623c);
3109
test.u_cmp(32'h7ffffe36,32'h00000063,32'h7fff4ee2);
3110
test.u_cmp(32'h0000031b,32'h00000038,32'h0000ade8);
3111
test.u_cmp(32'h7ffffe72,32'h00000038,32'hffffa8f0);
3112
test.u_cmp(32'h00000646,32'h0000001e,32'h0000bc34);
3113
test.u_cmp(32'h00000323,32'h0000001e,32'h00005e1a);
3114
test.u_cmp(32'h000005ef,32'h00000050,32'h0001dab0);
3115
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
3116
test.u_cmp(32'h00000284,32'h00000014,32'h00003250);
3117
test.u_cmp(32'h00000142,32'h00000014,32'h00001928);
3118
test.u_cmp(32'h0000025f,32'h0000006d,32'h00010273);
3119
test.u_cmp(32'h7ffffed0,32'h0000006d,32'h7fff7e90);
3120
test.u_cmp(32'h00000198,32'h0000005d,32'h00009438);
3121
test.u_cmp(32'h7fffff34,32'h0000005d,32'h7fffb5e4);
3122
test.u_cmp(32'h000007e6,32'h00000040,32'h0001f980);
3123
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
3124
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
3125
test.u_cmp(32'h00000103,32'h00000010,32'h00001030);
3126
test.u_cmp(32'h0000027f,32'h0000006c,32'h00010d94);
3127
test.u_cmp(32'h7ffffec0,32'h0000006c,32'hffff7900);
3128
test.u_cmp(32'h000001a0,32'h0000005d,32'h00009720);
3129
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
3130
test.u_cmp(32'h000007e8,32'h00000040,32'h0001fa00);
3131
test.u_cmp(32'h000003f4,32'h00000040,32'h0000fd00);
3132
test.u_cmp(32'h000000fa,32'h00000058,32'h000055f0);
3133
test.u_cmp(32'h7fffff83,32'h00000058,32'hffffd508);
3134
test.u_cmp(32'h00000541,32'h00000006,32'h00001f86);
3135
test.u_cmp(32'h000002a0,32'h00000006,32'h00000fc0);
3136
test.u_cmp(32'h00000530,32'h0000007a,32'h000278e0);
3137
test.u_cmp(32'h00000298,32'h0000007a,32'h00013c70);
3138
test.u_cmp(32'h000006cc,32'h00000049,32'h0001f02c);
3139
test.u_cmp(32'h00000366,32'h00000049,32'h0000f816);
3140
test.u_cmp(32'h0000024d,32'h00000012,32'h0000296a);
3141
test.u_cmp(32'h00000126,32'h00000012,32'h000014ac);
3142
test.u_cmp(32'h00000093,32'h00000004,32'h0000024c);
3143
test.u_cmp(32'h7fffffb6,32'h00000004,32'hfffffed8);
3144
test.u_cmp(32'h000002dc,32'h00000047,32'h0000cb04);
3145
test.u_cmp(32'h7ffffe92,32'h00000047,32'h7fff9a7e);
3146
test.u_cmp(32'h000005c9,32'h00000002,32'h00000b92);
3147
test.u_cmp(32'h000002e4,32'h00000002,32'h000005c8);
3148
test.u_cmp(32'h0000050e,32'h00000079,32'h0002639e);
3149
test.u_cmp(32'h00000287,32'h00000079,32'h000131cf);
3150
test.u_cmp(32'h000006c4,32'h00000067,32'h0002b8dc);
3151
test.u_cmp(32'h00000362,32'h00000067,32'h00015c6e);
3152
test.u_cmp(32'h00000631,32'h00000062,32'h00025ec2);
3153
test.u_cmp(32'h7ffffce7,32'h00000062,32'hfffed06e);
3154
test.u_cmp(32'h000004f3,32'h00000009,32'h00002c8b);
3155
test.u_cmp(32'h7ffffd86,32'h00000009,32'h7fffe9b6);
3156
test.u_cmp(32'h00000544,32'h0000007b,32'h000287ac);
3157
test.u_cmp(32'h000002a2,32'h0000007b,32'h000143d6);
3158
test.u_cmp(32'h000006d1,32'h00000067,32'h0002be17);
3159
test.u_cmp(32'h7ffffc97,32'h00000067,32'h7ffea0c1);
3160
test.u_cmp(32'h00000635,32'h0000004e,32'h0001e426);
3161
test.u_cmp(32'h7ffffce5,32'h0000004e,32'hffff0dc6);
3162
test.u_cmp(32'h00000272,32'h0000003d,32'h0000952a);
3163
test.u_cmp(32'h7ffffec7,32'h0000003d,32'h7fffb56b);
3164
test.u_cmp(32'h0000061c,32'h00000030,32'h00012540);
3165
test.u_cmp(32'h7ffffcf2,32'h00000030,32'hffff6d60);
3166
test.u_cmp(32'h00000187,32'h00000024,32'h000036fc);
3167
test.u_cmp(32'h000000c3,32'h00000024,32'h00001b6c);
3168
test.u_cmp(32'h0000041f,32'h00000071,32'h0001d1af);
3169
test.u_cmp(32'h7ffffdf0,32'h00000071,32'h7fff16f0);
3170
test.u_cmp(32'h00000478,32'h00000023,32'h00009c68);
3171
test.u_cmp(32'h7ffffdc4,32'h00000023,32'h7fffb1cc);
3172
test.u_cmp(32'h0000011e,32'h00000028,32'h00002cb0);
3173
test.u_cmp(32'h7fffff71,32'h00000028,32'hffffe9a8);
3174
test.u_cmp(32'h000006c7,32'h0000001a,32'h0000b036);
3175
test.u_cmp(32'h00000363,32'h0000001a,32'h0000580e);
3176
test.u_cmp(32'h00000731,32'h00000039,32'h000199e9);
3177
test.u_cmp(32'h7ffffc67,32'h00000039,32'h7fff32ef);
3178
test.u_cmp(32'h000001cc,32'h00000022,32'h00003d18);
3179
test.u_cmp(32'h000000e6,32'h00000022,32'h00001e8c);
3180
test.u_cmp(32'h000006f3,32'h00000019,32'h0000adbb);
3181
test.u_cmp(32'h7ffffc86,32'h00000019,32'h7fffa916);
3182
test.u_cmp(32'h0000073c,32'h00000039,32'h00019c5c);
3183
test.u_cmp(32'h7ffffc62,32'h00000039,32'h7fff31d2);
3184
test.u_cmp(32'h00000331,32'h0000004a,32'h0000ec2a);
3185
test.u_cmp(32'h7ffffe67,32'h0000004a,32'hffff89c6);
3186
test.u_cmp(32'h0000074d,32'h00000045,32'h0001f7c1);
3187
test.u_cmp(32'h000003a6,32'h00000045,32'h0000fbbe);
3188
test.u_cmp(32'h000000d4,32'h00000079,32'h00006434);
3189
test.u_cmp(32'h7fffff96,32'h00000079,32'h7fffcde6);
3190
test.u_cmp(32'h000003cb,32'h0000001e,32'h000071ca);
3191
test.u_cmp(32'h000001e5,32'h0000001e,32'h000038d6);
3192
test.u_cmp(32'h000000f2,32'h00000007,32'h0000069e);
3193
test.u_cmp(32'h7fffff87,32'h00000007,32'h7ffffcb1);
3194
test.u_cmp(32'h000002c4,32'h00000069,32'h00012264);
3195
test.u_cmp(32'h00000162,32'h00000069,32'h00009132);
3196
test.u_cmp(32'h000001b1,32'h00000072,32'h0000c0d2);
3197
test.u_cmp(32'h7fffff27,32'h00000072,32'hffff9f5e);
3198
test.u_cmp(32'h00000393,32'h0000001c,32'h00006414);
3199
test.u_cmp(32'h7ffffe36,32'h0000001c,32'hffffcde8);
3200
test.u_cmp(32'h000000e4,32'h00000029,32'h00002484);
3201
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
3202
test.u_cmp(32'h000006b9,32'h00000035,32'h0001644d);
3203
test.u_cmp(32'h7ffffca3,32'h00000035,32'h7fff4dbf);
3204
test.u_cmp(32'h000001ae,32'h00000023,32'h00003aca);
3205
test.u_cmp(32'h000000d7,32'h00000023,32'h00001d65);
3206
test.u_cmp(32'h000006eb,32'h00000019,32'h0000acf3);
3207
test.u_cmp(32'h00000375,32'h00000019,32'h0000566d);
3208
test.u_cmp(32'h0000073a,32'h00000039,32'h00019bea);
3209
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
3210
test.u_cmp(32'h000001ce,32'h00000022,32'h00003d5c);
3211
test.u_cmp(32'h000000e7,32'h00000022,32'h00001eae);
3212
test.u_cmp(32'h000006f3,32'h00000037,32'h00017e35);
3213
test.u_cmp(32'h7ffffc86,32'h00000037,32'h7fff40ca);
3214
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
3215
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
3216
test.u_cmp(32'h00000751,32'h00000045,32'h0001f8d5);
3217
test.u_cmp(32'h7ffffc57,32'h00000045,32'h7fff0373);
3218
test.u_cmp(32'h000000d5,32'h00000079,32'h000064ad);
3219
test.u_cmp(32'h7fffff95,32'h00000079,32'h7fffcd6d);
3220
test.u_cmp(32'h00000136,32'h0000005a,32'h00006cfc);
3221
test.u_cmp(32'h7fffff65,32'h0000005a,32'hffffc982);
3222
test.u_cmp(32'h000007ce,32'h00000041,32'h0001fb4e);
3223
test.u_cmp(32'h000003e7,32'h00000041,32'h0000fda7);
3224
test.u_cmp(32'h0000020c,32'h00000010,32'h000020c0);
3225
test.u_cmp(32'h00000106,32'h00000010,32'h00001060);
3226
test.u_cmp(32'h0000027d,32'h0000006c,32'h00010cbc);
3227
test.u_cmp(32'h7ffffec1,32'h0000006c,32'hffff796c);
3228
test.u_cmp(32'h000001a0,32'h0000005d,32'h00009720);
3229
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
3230
test.u_cmp(32'h00000518,32'h00000028,32'h0000cbc0);
3231
test.u_cmp(32'h7ffffd74,32'h00000028,32'hffff9a20);
3232
test.u_cmp(32'h000003ba,32'h00000062,32'h00016d34);
3233
test.u_cmp(32'h7ffffe23,32'h00000062,32'hffff4966);
3234
test.u_cmp(32'h000001ef,32'h00000060,32'h0000b9a0);
3235
test.u_cmp(32'h000000f7,32'h00000060,32'h00005ca0);
3236
test.u_cmp(32'h000007fc,32'h00000040,32'h0001ff00);
3237
test.u_cmp(32'h7ffffc02,32'h00000040,32'hffff0080);
3238
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
3239
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
3240
test.u_cmp(32'h00000140,32'h0000005a,32'h00007080);
3241
test.u_cmp(32'h7fffff60,32'h0000005a,32'hffffc7c0);
3242
test.u_cmp(32'h00000530,32'h00000029,32'h0000d4b0);
3243
test.u_cmp(32'h7ffffd68,32'h00000029,32'h7fff95a8);
3244
test.u_cmp(32'h000003b4,32'h0000004e,32'h000120d8);
3245
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
3246
test.u_cmp(32'h0000076d,32'h00000044,32'h0001f8f4);
3247
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
3248
test.u_cmp(32'h000000dc,32'h00000057,32'h00004ac4);
3249
test.u_cmp(32'h7fffff92,32'h00000057,32'h7fffda9e);
3250
test.u_cmp(32'h000007b7,32'h0000006e,32'h000350a2);
3251
test.u_cmp(32'h7ffffc24,32'h0000006e,32'hfffe5778);
3252
test.u_cmp(32'h0000066e,32'h00000064,32'h000282f8);
3253
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
3254
test.u_cmp(32'h000004e4,32'h00000009,32'h00002c04);
3255
test.u_cmp(32'h00000272,32'h00000009,32'h00001602);
3256
test.u_cmp(32'h00000547,32'h0000007b,32'h0002891d);
3257
test.u_cmp(32'h000002a3,32'h0000007b,32'h00014451);
3258
test.u_cmp(32'h000006d2,32'h00000049,32'h0001f1e2);
3259
test.u_cmp(32'h7ffffc97,32'h00000049,32'h7fff070f);
3260
test.u_cmp(32'h0000024b,32'h00000012,32'h00002946);
3261
test.u_cmp(32'h00000125,32'h00000012,32'h0000149a);
3262
test.u_cmp(32'h00000092,32'h00000004,32'h00000248);
3263
test.u_cmp(32'h7fffffb7,32'h00000004,32'hfffffedc);
3264
test.u_cmp(32'h00000024,32'h00000001,32'h00000024);
3265
test.u_cmp(32'h00000012,32'h00000001,32'h00000012);
3266
test.u_cmp(32'h00000009,32'h00000030,32'h000001b0);
3267
test.u_cmp(32'h00000004,32'h00000030,32'h000000c0);
3268
test.u_cmp(32'h00000682,32'h00000034,32'h00015268);
3269
test.u_cmp(32'h00000341,32'h00000034,32'h0000a934);
3270
test.u_cmp(32'h000001a0,32'h00000023,32'h000038e0);
3271
test.u_cmp(32'h000000d0,32'h00000023,32'h00001c70);
3272
test.u_cmp(32'h00000418,32'h00000071,32'h0001ce98);
3273
test.u_cmp(32'h7ffffdf4,32'h00000071,32'h7fff18b4);
3274
test.u_cmp(32'h0000047a,32'h00000023,32'h00009cae);
3275
test.u_cmp(32'h7ffffdc3,32'h00000023,32'h7fffb1a9);
3276
test.u_cmp(32'h0000011e,32'h00000028,32'h00002cb0);
3277
test.u_cmp(32'h7fffff71,32'h00000028,32'hffffe9a8);
3278
test.u_cmp(32'h00000439,32'h00000072,32'h0001e162);
3279
test.u_cmp(32'h7ffffde3,32'h00000072,32'hffff0f16);
3280
test.u_cmp(32'h00000471,32'h0000000d,32'h000039bd);
3281
test.u_cmp(32'h7ffffdc7,32'h0000000d,32'h7fffe31b);
3282
test.u_cmp(32'h0000079c,32'h0000003c,32'h0001c890);
3283
test.u_cmp(32'h7ffffc32,32'h0000003c,32'hffff1bb8);
3284
test.u_cmp(32'h00000319,32'h00000049,32'h0000e221);
3285
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
3286
test.u_cmp(32'h00000747,32'h00000045,32'h0001f623);
3287
test.u_cmp(32'h000003a3,32'h00000045,32'h0000faef);
3288
test.u_cmp(32'h0000022e,32'h00000011,32'h0000250e);
3289
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
3290
test.u_cmp(32'h00000275,32'h00000044,32'h0000a714);
3291
test.u_cmp(32'h7ffffec5,32'h00000044,32'hffffac54);
3292
test.u_cmp(32'h0000071e,32'h00000069,32'h0002eb4e);
3293
test.u_cmp(32'h7ffffc71,32'h00000069,32'h7ffe8a59);
3294
test.u_cmp(32'h00000648,32'h00000063,32'h00026dd8);
3295
test.u_cmp(32'h00000324,32'h00000063,32'h000136ec);
3296
test.u_cmp(32'h000004ee,32'h00000027,32'h0000c042);
3297
test.u_cmp(32'h00000277,32'h00000027,32'h00006021);
3298
test.u_cmp(32'h000003c5,32'h00000061,32'h00016da5);
3299
test.u_cmp(32'h000001e2,32'h00000061,32'h0000b6a2);
3300
test.u_cmp(32'h000001f2,32'h00000070,32'h0000d9e0);
3301
test.u_cmp(32'h7fffff07,32'h00000070,32'hffff9310);
3302
test.u_cmp(32'h00000383,32'h0000001c,32'h00006254);
3303
test.u_cmp(32'h000001c1,32'h0000001c,32'h0000311c);
3304
test.u_cmp(32'h000000e0,32'h00000029,32'h000023e0);
3305
test.u_cmp(32'h00000070,32'h00000029,32'h000011f0);
3306
test.u_cmp(32'h000006b8,32'h0000001b,32'h0000b568);
3307
test.u_cmp(32'h7ffffca4,32'h0000001b,32'h7fffa54c);
3308
test.u_cmp(32'h000005d2,32'h0000007f,32'h0002e32e);
3309
test.u_cmp(32'h7ffffd17,32'h0000007f,32'h7ffe8e69);
3310
test.u_cmp(32'h0000040b,32'h00000010,32'h000040b0);
3311
test.u_cmp(32'h00000205,32'h00000010,32'h00002050);
3312
test.u_cmp(32'h00000782,32'h0000003c,32'h0001c278);
3313
test.u_cmp(32'h000003c1,32'h0000003c,32'h0000e13c);
3314
test.u_cmp(32'h00000320,32'h00000049,32'h0000e420);
3315
test.u_cmp(32'h7ffffe70,32'h00000049,32'h7fff8df0);
3316
test.u_cmp(32'h00000748,32'h0000006b,32'h00030b18);
3317
test.u_cmp(32'h000003a4,32'h0000006b,32'h0001858c);
3318
test.u_cmp(32'h000004ae,32'h0000000b,32'h0000337a);
3319
test.u_cmp(32'h00000257,32'h0000000b,32'h000019bd);
3320
test.u_cmp(32'h000007ab,32'h0000003d,32'h0001d3bf);
3321
test.u_cmp(32'h000003d5,32'h0000003d,32'h0000e9c1);
3322
test.u_cmp(32'h00000316,32'h00000067,32'h00013dda);
3323
test.u_cmp(32'h7ffffe75,32'h00000067,32'h7fff6113);
3324
test.u_cmp(32'h000001c6,32'h0000005f,32'h0000a87a);
3325
test.u_cmp(32'h000000e3,32'h0000005f,32'h0000543d);
3326
test.u_cmp(32'h000007f2,32'h00000070,32'h000379e0);
3327
test.u_cmp(32'h7ffffc07,32'h00000070,32'hfffe4310);
3328
test.u_cmp(32'h0000067d,32'h00000064,32'h000288d4);
3329
test.u_cmp(32'h7ffffcc1,32'h00000064,32'hfffebb64);
3330
test.u_cmp(32'h000004e0,32'h00000009,32'h00002be0);
3331
test.u_cmp(32'h00000270,32'h00000009,32'h000015f0);
3332
test.u_cmp(32'h000007b8,32'h0000003d,32'h0001d6d8);
3333
test.u_cmp(32'h7ffffc24,32'h0000003d,32'h7fff1494);
3334
test.u_cmp(32'h00000312,32'h00000049,32'h0000e022);
3335
test.u_cmp(32'h7ffffe77,32'h00000049,32'h7fff8fef);
3336
test.u_cmp(32'h00000745,32'h0000006b,32'h000309d7);
3337
test.u_cmp(32'h000003a2,32'h0000006b,32'h000184b6);
3338
test.u_cmp(32'h00000652,32'h00000063,32'h000271b6);
3339
test.u_cmp(32'h7ffffcd7,32'h00000063,32'h7ffec725);
3340
test.u_cmp(32'h000004eb,32'h00000009,32'h00002c43);
3341
test.u_cmp(32'h00000275,32'h00000009,32'h0000161d);
3342
test.u_cmp(32'h000007ba,32'h0000003d,32'h0001d752);
3343
test.u_cmp(32'h7ffffc23,32'h0000003d,32'h7fff1457);
3344
test.u_cmp(32'h00000312,32'h00000049,32'h0000e022);
3345
test.u_cmp(32'h7ffffe77,32'h00000049,32'h7fff8fef);
3346
test.u_cmp(32'h000005bb,32'h0000002d,32'h000101df);
3347
test.u_cmp(32'h7ffffd22,32'h0000002d,32'h7fff7efa);
3348
test.u_cmp(32'h00000392,32'h00000063,32'h00016176);
3349
test.u_cmp(32'h7ffffe37,32'h00000063,32'h7fff4f45);
3350
test.u_cmp(32'h000001e5,32'h00000060,32'h0000b5e0);
3351
test.u_cmp(32'h000000f2,32'h00000060,32'h00005ac0);
3352
test.u_cmp(32'h000007fa,32'h00000070,32'h00037d60);
3353
test.u_cmp(32'h7ffffc03,32'h00000070,32'hfffe4150);
3354
test.u_cmp(32'h0000067f,32'h00000064,32'h0002899c);
3355
test.u_cmp(32'h7ffffcc0,32'h00000064,32'hfffebb00);
3356
test.u_cmp(32'h000004e0,32'h00000009,32'h00002be0);
3357
test.u_cmp(32'h00000270,32'h00000009,32'h000015f0);
3358
test.u_cmp(32'h000007b8,32'h0000003d,32'h0001d6d8);
3359
test.u_cmp(32'h7ffffc24,32'h0000003d,32'h7fff1494);
3360
test.u_cmp(32'h00000312,32'h00000067,32'h00013c3e);
3361
test.u_cmp(32'h7ffffe77,32'h00000067,32'h7fff61e1);
3362
test.u_cmp(32'h000001c5,32'h0000005f,32'h0000a81b);
3363
test.u_cmp(32'h000000e2,32'h0000005f,32'h000053de);
3364
test.u_cmp(32'h0000050e,32'h00000028,32'h0000ca30);
3365
test.u_cmp(32'h00000287,32'h00000028,32'h00006518);
3366
test.u_cmp(32'h000003bd,32'h00000062,32'h00016e5a);
3367
test.u_cmp(32'h7ffffe21,32'h00000062,32'hffff48a2);
3368
test.u_cmp(32'h000001f0,32'h00000060,32'h0000ba00);
3369
test.u_cmp(32'h000000f8,32'h00000060,32'h00005d00);
3370
test.u_cmp(32'h00000504,32'h00000008,32'h00002820);
3371
test.u_cmp(32'h00000282,32'h00000008,32'h00001410);
3372
test.u_cmp(32'h000007c1,32'h0000003e,32'h0001e0be);
3373
test.u_cmp(32'h000003e0,32'h0000003e,32'h0000f040);
3374
test.u_cmp(32'h00000310,32'h00000067,32'h00013b70);
3375
test.u_cmp(32'h00000188,32'h00000067,32'h00009db8);
3376
test.u_cmp(32'h0000033c,32'h00000019,32'h000050dc);
3377
test.u_cmp(32'h7ffffe62,32'h00000019,32'h7fffd792);
3378
test.u_cmp(32'h000000cf,32'h00000006,32'h000004da);
3379
test.u_cmp(32'h00000067,32'h00000006,32'h0000026a);
3380
test.u_cmp(32'h00000033,32'h00000001,32'h00000033);
3381
test.u_cmp(32'h7fffffe6,32'h00000001,32'h7fffffe6);
3382
test.u_cmp(32'h000002f4,32'h00000068,32'h00013320);
3383
test.u_cmp(32'h7ffffe86,32'h00000068,32'hffff6670);
3384
test.u_cmp(32'h000001bd,32'h0000005e,32'h0000a366);
3385
test.u_cmp(32'h7fffff21,32'h0000005e,32'hffffae1e);
3386
test.u_cmp(32'h00000510,32'h00000008,32'h00002880);
3387
test.u_cmp(32'h7ffffd78,32'h00000008,32'hffffebc0);
3388
test.u_cmp(32'h000007c4,32'h0000003e,32'h0001e178);
3389
test.u_cmp(32'h000003e2,32'h0000003e,32'h0000f0bc);
3390
test.u_cmp(32'h000001f1,32'h0000000f,32'h00001d1f);
3391
test.u_cmp(32'h7fffff07,32'h0000000f,32'h7ffff169);
3392
test.u_cmp(32'h0000007c,32'h0000002d,32'h000015cc);
3393
test.u_cmp(32'h7fffffc2,32'h0000002d,32'h7ffff51a);
3394
test.u_cmp(32'h00000461,32'h00000074,32'h0001fbf4);
3395
test.u_cmp(32'h00000230,32'h00000074,32'h0000fdc0);
3396
test.u_cmp(32'h00000699,32'h00000065,32'h00029a5d);
3397
test.u_cmp(32'h7ffffcb3,32'h00000065,32'h7ffeb29f);
3398
test.u_cmp(32'h00000627,32'h0000004e,32'h0001dfe2);
3399
test.u_cmp(32'h00000313,32'h0000004e,32'h0000efca);
3400
test.u_cmp(32'h0000008a,32'h0000007b,32'h0000424e);
3401
test.u_cmp(32'h00000045,32'h0000007b,32'h00002127);
3402
test.u_cmp(32'h00000123,32'h0000005a,32'h0000664e);
3403
test.u_cmp(32'h00000091,32'h0000005a,32'h000032fa);
3404
test.u_cmp(32'h000007c9,32'h00000041,32'h0001fa09);
3405
test.u_cmp(32'h000003e4,32'h00000041,32'h0000fce4);
3406
test.u_cmp(32'h000000f3,32'h00000058,32'h00005388);
3407
test.u_cmp(32'h7fffff86,32'h00000058,32'hffffd610);
3408
test.u_cmp(32'h000007bd,32'h0000006e,32'h00035336);
3409
test.u_cmp(32'h7ffffc21,32'h0000006e,32'hfffe562e);
3410
test.u_cmp(32'h00000670,32'h0000004c,32'h0001e940);
3411
test.u_cmp(32'h00000338,32'h0000004c,32'h0000f4a0);
3412
test.u_cmp(32'h00000264,32'h00000013,32'h00002d6c);
3413
test.u_cmp(32'h00000132,32'h00000013,32'h000016b6);
3414
test.u_cmp(32'h00000099,32'h0000002c,32'h00001a4c);
3415
test.u_cmp(32'h7fffffb3,32'h0000002c,32'hfffff2c4);
3416
test.u_cmp(32'h000006a6,32'h0000001b,32'h0000b382);
3417
test.u_cmp(32'h00000353,32'h0000001b,32'h000059c1);
3418
test.u_cmp(32'h00000729,32'h00000017,32'h0000a4af);
3419
test.u_cmp(32'h00000394,32'h00000017,32'h0000524c);
3420
test.u_cmp(32'h000005b6,32'h0000007e,32'h0002cf94);
3421
test.u_cmp(32'h7ffffd25,32'h0000007e,32'hfffe9836);
3422
test.u_cmp(32'h00000412,32'h00000010,32'h00004120);
3423
test.u_cmp(32'h7ffffdf7,32'h00000010,32'hffffdf70);
3424
test.u_cmp(32'h0000057c,32'h00000054,32'h0001ccb0);
3425
test.u_cmp(32'h7ffffd42,32'h00000054,32'hffff19a8);
3426
test.u_cmp(32'h0000005f,32'h0000007d,32'h00002e63);
3427
test.u_cmp(32'h7fffffd0,32'h0000007d,32'h7fffe890);
3428
test.u_cmp(32'h000003e8,32'h00000031,32'h0000bf68);
3429
test.u_cmp(32'h000001f4,32'h00000031,32'h00005fb4);
3430
test.u_cmp(32'h0000067a,32'h00000033,32'h00014a4e);
3431
test.u_cmp(32'h7ffffcc3,32'h00000033,32'h7fff5ad9);
3432
test.u_cmp(32'h0000019e,32'h0000000c,32'h00001368);
3433
test.u_cmp(32'h7fffff31,32'h0000000c,32'hfffff64c);
3434
test.u_cmp(32'h00000067,32'h0000002d,32'h0000121b);
3435
test.u_cmp(32'h00000033,32'h0000002d,32'h000008f7);
3436
test.u_cmp(32'h00000467,32'h00000074,32'h0001feac);
3437
test.u_cmp(32'h00000233,32'h00000074,32'h0000ff1c);
3438
test.u_cmp(32'h00000466,32'h0000000d,32'h0000392e);
3439
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
3440
test.u_cmp(32'h00000567,32'h0000007c,32'h00029de4);
3441
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
3442
test.u_cmp(32'h00000426,32'h00000021,32'h000088e6);
3443
test.u_cmp(32'h00000213,32'h00000021,32'h00004473);
3444
test.u_cmp(32'h000003f7,32'h00000050,32'h00013d30);
3445
test.u_cmp(32'h7ffffe04,32'h00000050,32'hffff6140);
3446
test.u_cmp(32'h0000077e,32'h00000044,32'h0001fd78);
3447
test.u_cmp(32'h7ffffc41,32'h00000044,32'hffff0144);
3448
test.u_cmp(32'h00000220,32'h00000011,32'h00002420);
3449
test.u_cmp(32'h00000110,32'h00000011,32'h00001210);
3450
test.u_cmp(32'h00000088,32'h00000004,32'h00000220);
3451
test.u_cmp(32'h00000044,32'h00000004,32'h00000110);
3452
test.u_cmp(32'h000002de,32'h00000047,32'h0000cb92);
3453
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
3454
test.u_cmp(32'h000005c8,32'h00000002,32'h00000b90);
3455
test.u_cmp(32'h000002e4,32'h00000002,32'h000005c8);
3456
test.u_cmp(32'h000007f2,32'h00000011,32'h00008712);
3457
test.u_cmp(32'h7ffffc07,32'h00000011,32'h7fffbc77);
3458
test.u_cmp(32'h00000584,32'h0000007d,32'h0002b174);
3459
test.u_cmp(32'h000002c2,32'h0000007d,32'h000158ba);
3460
test.u_cmp(32'h0000041f,32'h00000010,32'h000041f0);
3461
test.u_cmp(32'h7ffffdf0,32'h00000010,32'hffffdf00);
3462
test.u_cmp(32'h00000579,32'h0000007c,32'h0002a69c);
3463
test.u_cmp(32'h7ffffd43,32'h0000007c,32'hfffeac74);
3464
test.u_cmp(32'h00000421,32'h0000000f,32'h00003def);
3465
test.u_cmp(32'h00000210,32'h0000000f,32'h00001ef0);
3466
test.u_cmp(32'h00000578,32'h0000007c,32'h0002a620);
3467
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
3468
test.u_cmp(32'h00000422,32'h00000021,32'h00008862);
3469
test.u_cmp(32'h00000211,32'h00000021,32'h00004431);
3470
test.u_cmp(32'h00000108,32'h00000008,32'h00000840);
3471
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
3472
test.u_cmp(32'h00000042,32'h00000002,32'h00000084);
3473
test.u_cmp(32'h00000021,32'h00000002,32'h00000042);
3474
test.u_cmp(32'h000002f0,32'h00000048,32'h0000d380);
3475
test.u_cmp(32'h00000178,32'h00000048,32'h000069c0);
3476
test.u_cmp(32'h0000073c,32'h0000006a,32'h0002fed8);
3477
test.u_cmp(32'h7ffffc62,32'h0000006a,32'hfffe8094);
3478
test.u_cmp(32'h000004b1,32'h00000025,32'h0000ad95);
3479
test.u_cmp(32'h7ffffda7,32'h00000025,32'h7fffa923);
3480
test.u_cmp(32'h0000012c,32'h00000027,32'h00002db4);
3481
test.u_cmp(32'h00000096,32'h00000027,32'h000016da);
3482
test.u_cmp(32'h000006cb,32'h0000001a,32'h0000b09e);
3483
test.u_cmp(32'h00000365,32'h0000001a,32'h00005842);
3484
test.u_cmp(32'h00000732,32'h00000017,32'h0000a57e);
3485
test.u_cmp(32'h7ffffc67,32'h00000017,32'h7fffad41);
3486
test.u_cmp(32'h000005b4,32'h0000007e,32'h0002ce98);
3487
test.u_cmp(32'h7ffffd26,32'h0000007e,32'hfffe98b4);
3488
test.u_cmp(32'h000006ed,32'h00000068,32'h0002d048);
3489
test.u_cmp(32'h00000376,32'h00000068,32'h000167f0);
3490
test.u_cmp(32'h000004c4,32'h00000026,32'h0000b518);
3491
test.u_cmp(32'h00000262,32'h00000026,32'h00005a8c);
3492
test.u_cmp(32'h00000131,32'h00000009,32'h00000ab9);
3493
test.u_cmp(32'h7fffff67,32'h00000009,32'h7ffffa9f);
3494
test.u_cmp(32'h000002b4,32'h00000046,32'h0000bd38);
3495
test.u_cmp(32'h7ffffea6,32'h00000046,32'hffffa164);
3496
test.u_cmp(32'h0000072d,32'h0000006a,32'h0002f8a2);
3497
test.u_cmp(32'h00000396,32'h0000006a,32'h00017c1c);
3498
test.u_cmp(32'h0000064c,32'h0000004d,32'h0001e4dc);
3499
test.u_cmp(32'h00000326,32'h0000004d,32'h0000f26e);
3500
test.u_cmp(32'h0000026d,32'h00000013,32'h00002e17);
3501
test.u_cmp(32'h00000136,32'h00000013,32'h00001702);
3502
test.u_cmp(32'h0000009b,32'h0000002c,32'h00001aa4);
3503
test.u_cmp(32'h7fffffb2,32'h0000002c,32'hfffff298);
3504
test.u_cmp(32'h000006a6,32'h00000035,32'h0001605e);
3505
test.u_cmp(32'h00000353,32'h00000035,32'h0000b02f);
3506
test.u_cmp(32'h00000357,32'h0000004b,32'h0000fa7d);
3507
test.u_cmp(32'h7ffffe54,32'h0000004b,32'h7fff829c);
3508
test.u_cmp(32'h00000756,32'h00000045,32'h0001fa2e);
3509
test.u_cmp(32'h7ffffc55,32'h00000045,32'h7fff02e9);
3510
test.u_cmp(32'h000000d6,32'h00000057,32'h000048ba);
3511
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
3512
test.u_cmp(32'h000007b6,32'h00000042,32'h0001fcec);
3513
test.u_cmp(32'h7ffffc25,32'h00000042,32'hffff018a);
3514
test.u_cmp(32'h00000212,32'h00000010,32'h00002120);
3515
test.u_cmp(32'h7ffffef7,32'h00000010,32'hffffef70);
3516
test.u_cmp(32'h00000084,32'h0000002c,32'h000016b0);
3517
test.u_cmp(32'h00000042,32'h0000002c,32'h00000b58);
3518
test.u_cmp(32'h000006a1,32'h00000035,32'h00015f55);
3519
test.u_cmp(32'h00000350,32'h00000035,32'h0000af90);
3520
test.u_cmp(32'h000001a8,32'h00000023,32'h000039f8);
3521
test.u_cmp(32'h000000d4,32'h00000023,32'h00001cfc);
3522
test.u_cmp(32'h00000416,32'h0000005f,32'h0001842a);
3523
test.u_cmp(32'h7ffffdf5,32'h0000005f,32'h7fff3deb);
3524
test.u_cmp(32'h00000006,32'h00000051,32'h000001e6);
3525
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
3526
test.u_cmp(32'h0000057e,32'h00000005,32'h00001b76);
3527
test.u_cmp(32'h7ffffd41,32'h00000005,32'h7ffff245);
3528
test.u_cmp(32'h00000521,32'h0000007a,32'h000271ba);
3529
test.u_cmp(32'h00000290,32'h0000007a,32'h000138a0);
3530
test.u_cmp(32'h00000437,32'h00000021,32'h00008b17);
3531
test.u_cmp(32'h7ffffde4,32'h00000021,32'h7fffba64);
3532
test.u_cmp(32'h000003f3,32'h00000050,32'h00013bf0);
3533
test.u_cmp(32'h7ffffe06,32'h00000050,32'hffff61e0);
3534
test.u_cmp(32'h00000583,32'h0000002c,32'h0000f284);
3535
test.u_cmp(32'h000002c1,32'h0000002c,32'h0000792c);
3536
test.u_cmp(32'h000003a0,32'h0000004d,32'h00011720);
3537
test.u_cmp(32'h7ffffe30,32'h0000004d,32'h7fff7470);
3538
test.u_cmp(32'h00000768,32'h00000044,32'h0001f7a0);
3539
test.u_cmp(32'h000003b4,32'h00000044,32'h0000fbd0);
3540
test.u_cmp(32'h00000226,32'h00000011,32'h00002486);
3541
test.u_cmp(32'h00000113,32'h00000011,32'h00001243);
3542
test.u_cmp(32'h00000089,32'h00000004,32'h00000224);
3543
test.u_cmp(32'h00000044,32'h00000004,32'h00000110);
3544
test.u_cmp(32'h000002de,32'h00000047,32'h0000cb92);
3545
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
3546
test.u_cmp(32'h000005c8,32'h0000002e,32'h000109f0);
3547
test.u_cmp(32'h000002e4,32'h0000002e,32'h000084f8);
3548
test.u_cmp(32'h0000038e,32'h00000063,32'h00015fea);
3549
test.u_cmp(32'h000001c7,32'h00000063,32'h0000aff5);
3550
test.u_cmp(32'h000001e4,32'h00000070,32'h0000d3c0);
3551
test.u_cmp(32'h000000f2,32'h00000070,32'h000069e0);
3552
test.u_cmp(32'h00000179,32'h00000074,32'h0000aad4);
3553
test.u_cmp(32'h7fffff43,32'h00000074,32'hffffaa5c);
3554
test.u_cmp(32'h000003a1,32'h00000033,32'h0000b913);
3555
test.u_cmp(32'h000001d0,32'h00000033,32'h00005c70);
3556
test.u_cmp(32'h00000668,32'h00000033,32'h000146b8);
3557
test.u_cmp(32'h00000334,32'h00000033,32'h0000a35c);
3558
test.u_cmp(32'h0000019a,32'h0000000c,32'h00001338);
3559
test.u_cmp(32'h7fffff33,32'h0000000c,32'hfffff664);
3560
test.u_cmp(32'h00000066,32'h00000003,32'h00000132);
3561
test.u_cmp(32'h00000033,32'h00000003,32'h00000099);
3562
test.u_cmp(32'h000002e7,32'h00000068,32'h00012dd8);
3563
test.u_cmp(32'h00000173,32'h00000068,32'h000096b8);
3564
test.u_cmp(32'h00000346,32'h0000001a,32'h0000551c);
3565
test.u_cmp(32'h000001a3,32'h0000001a,32'h00002a8e);
3566
test.u_cmp(32'h0000022f,32'h00000042,32'h0000901e);
3567
test.u_cmp(32'h00000117,32'h00000042,32'h000047ee);
3568
test.u_cmp(32'h0000070c,32'h00000069,32'h0002e3ec);
3569
test.u_cmp(32'h00000386,32'h00000069,32'h000171f6);
3570
test.u_cmp(32'h000004bd,32'h00000025,32'h0000af51);
3571
test.u_cmp(32'h7ffffda1,32'h00000025,32'h7fffa845);
3572
test.u_cmp(32'h0000012f,32'h00000027,32'h00002e29);
3573
test.u_cmp(32'h00000097,32'h00000027,32'h00001701);
3574
test.u_cmp(32'h000006cb,32'h0000001a,32'h0000b09e);
3575
test.u_cmp(32'h00000365,32'h0000001a,32'h00005842);
3576
test.u_cmp(32'h000005ce,32'h0000007f,32'h0002e132);
3577
test.u_cmp(32'h000002e7,32'h0000007f,32'h00017099);
3578
test.u_cmp(32'h000006f4,32'h00000068,32'h0002d320);
3579
test.u_cmp(32'h7ffffc86,32'h00000068,32'hfffe9670);
3580
test.u_cmp(32'h000004c3,32'h00000026,32'h0000b4f2);
3581
test.u_cmp(32'h00000261,32'h00000026,32'h00005a66);
3582
test.u_cmp(32'h000003d0,32'h00000061,32'h000171d0);
3583
test.u_cmp(32'h000001e8,32'h00000061,32'h0000b8e8);
3584
test.u_cmp(32'h0000030c,32'h00000018,32'h00004920);
3585
test.u_cmp(32'h00000186,32'h00000018,32'h00002490);
3586
test.u_cmp(32'h0000023d,32'h0000006e,32'h0000f636);
3587
test.u_cmp(32'h7ffffee1,32'h0000006e,32'hffff84ae);
3588
test.u_cmp(32'h00000370,32'h0000001b,32'h00005cd0);
3589
test.u_cmp(32'h7ffffe48,32'h0000001b,32'h7fffd198);
3590
test.u_cmp(32'h000000dc,32'h0000002a,32'h00002418);
3591
test.u_cmp(32'h7fffff92,32'h0000002a,32'hffffedf4);
3592
test.u_cmp(32'h00000449,32'h0000005d,32'h00018e85);
3593
test.u_cmp(32'h00000224,32'h0000005d,32'h0000c714);
3594
test.u_cmp(32'h00000013,32'h0000007f,32'h0000096d);
3595
test.u_cmp(32'h7ffffff6,32'h0000007f,32'h7ffffb0a);
3596
test.u_cmp(32'h00000105,32'h00000059,32'h00005abd);
3597
test.u_cmp(32'h00000082,32'h00000059,32'h00002d32);
3598
test.u_cmp(32'h0000053e,32'h00000029,32'h0000d6ee);
3599
test.u_cmp(32'h7ffffd61,32'h00000029,32'h7fff9489);
3600
test.u_cmp(32'h0000014f,32'h00000026,32'h000031ba);
3601
test.u_cmp(32'h000000a7,32'h00000026,32'h000018ca);
3602
test.u_cmp(32'h000006d3,32'h00000036,32'h00017082);
3603
test.u_cmp(32'h7ffffc96,32'h00000036,32'hffff47a4);
3604
test.u_cmp(32'h000001b4,32'h0000000d,32'h00001624);
3605
test.u_cmp(32'h7fffff26,32'h0000000d,32'h7ffff4ee);
3606
test.u_cmp(32'h0000006d,32'h0000002d,32'h00001329);
3607
test.u_cmp(32'h00000036,32'h0000002d,32'h0000097e);
3608
test.u_cmp(32'h0000069b,32'h00000034,32'h0001577c);
3609
test.u_cmp(32'h7ffffcb2,32'h00000034,32'hffff5428);
3610
test.u_cmp(32'h000001a6,32'h0000000d,32'h0000156e);
3611
test.u_cmp(32'h000000d3,32'h0000000d,32'h00000ab7);
3612
test.u_cmp(32'h00000069,32'h0000002d,32'h00001275);
3613
test.u_cmp(32'h00000034,32'h0000002d,32'h00000924);
3614
test.u_cmp(32'h0000069a,32'h0000001c,32'h0000b8d8);
3615
test.u_cmp(32'h7ffffcb3,32'h0000001c,32'hffffa394);
3616
test.u_cmp(32'h000005da,32'h00000051,32'h0001d9fa);
3617
test.u_cmp(32'h7ffffd13,32'h00000051,32'h7fff1303);
3618
test.u_cmp(32'h00000077,32'h00000054,32'h0000270c);
3619
test.u_cmp(32'h7fffffc4,32'h00000054,32'hffffec50);
3620
test.u_cmp(32'h00000562,32'h00000005,32'h00001aea);
3621
test.u_cmp(32'h000002b1,32'h00000005,32'h00000d75);
3622
test.u_cmp(32'h000007d8,32'h00000012,32'h00008d30);
3623
test.u_cmp(32'h7ffffc14,32'h00000012,32'hffffb968);
3624
test.u_cmp(32'h00000776,32'h0000003b,32'h0001b832);
3625
test.u_cmp(32'h7ffffc45,32'h0000003b,32'h7fff23e7);
3626
test.u_cmp(32'h000001dd,32'h00000022,32'h00003f5a);
3627
test.u_cmp(32'h7fffff11,32'h00000022,32'hffffe042);
3628
test.u_cmp(32'h00000409,32'h0000005f,32'h00017f57);
3629
test.u_cmp(32'h00000204,32'h0000005f,32'h0000bf7c);
3630
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
3631
test.u_cmp(32'h00000001,32'h00000051,32'h00000051);
3632
test.u_cmp(32'h0000057f,32'h00000005,32'h00001b7b);
3633
test.u_cmp(32'h7ffffd40,32'h00000005,32'h7ffff240);
3634
test.u_cmp(32'h00000521,32'h0000007a,32'h000271ba);
3635
test.u_cmp(32'h00000290,32'h0000007a,32'h000138a0);
3636
test.u_cmp(32'h00000437,32'h00000021,32'h00008b17);
3637
test.u_cmp(32'h7ffffde4,32'h00000021,32'h7fffba64);
3638
test.u_cmp(32'h000003f3,32'h00000060,32'h00017b20);
3639
test.u_cmp(32'h7ffffe06,32'h00000060,32'hffff4240);
3640
test.u_cmp(32'h00000303,32'h00000018,32'h00004848);
3641
test.u_cmp(32'h00000181,32'h00000018,32'h00002418);
3642
test.u_cmp(32'h00000240,32'h00000042,32'h00009480);
3643
test.u_cmp(32'h7ffffee0,32'h00000042,32'hffffb5c0);
3644
test.u_cmp(32'h00000710,32'h00000069,32'h0002e590);
3645
test.u_cmp(32'h00000388,32'h00000069,32'h000172c8);
3646
test.u_cmp(32'h000004bc,32'h0000000b,32'h00003414);
3647
test.u_cmp(32'h7ffffda2,32'h0000000b,32'h7fffe5f6);
3648
test.u_cmp(32'h00000551,32'h00000055,32'h0001c3e5);
3649
test.u_cmp(32'h7ffffd57,32'h00000055,32'h7fff1de3);
3650
test.u_cmp(32'h00000055,32'h0000007d,32'h00002981);
3651
test.u_cmp(32'h7fffffd5,32'h0000007d,32'h7fffeb01);
3652
test.u_cmp(32'h000003ea,32'h00000031,32'h0000bfca);
3653
test.u_cmp(32'h000001f5,32'h00000031,32'h00005fe5);
3654
test.u_cmp(32'h0000067a,32'h0000001d,32'h0000bbd2);
3655
test.u_cmp(32'h7ffffcc3,32'h0000001d,32'h7fffa217);
3656
test.u_cmp(32'h0000071e,32'h00000018,32'h0000aad0);
3657
test.u_cmp(32'h7ffffc71,32'h00000018,32'hffffaa98);
3658
test.u_cmp(32'h00000747,32'h00000016,32'h0000a01a);
3659
test.u_cmp(32'h000003a3,32'h00000016,32'h00005002);
3660
test.u_cmp(32'h000005af,32'h00000052,32'h0001d20e);
3661
test.u_cmp(32'h000002d7,32'h00000052,32'h0000e8de);
3662
test.u_cmp(32'h0000006c,32'h00000054,32'h00002370);
3663
test.u_cmp(32'h00000036,32'h00000054,32'h000011b8);
3664
test.u_cmp(32'h0000079b,32'h0000006d,32'h00033cff);
3665
test.u_cmp(32'h7ffffc32,32'h0000006d,32'h7ffe614a);
3666
test.u_cmp(32'h00000667,32'h00000064,32'h0002803c);
3667
test.u_cmp(32'h00000333,32'h00000064,32'h00013fec);
3668
test.u_cmp(32'h0000061a,32'h0000004f,32'h0001e206);
3669
test.u_cmp(32'h7ffffcf3,32'h0000004f,32'h7fff0efd);
3670
test.u_cmp(32'h00000087,32'h0000007b,32'h000040dd);
3671
test.u_cmp(32'h00000043,32'h0000007b,32'h00002031);
3672
test.u_cmp(32'h00000122,32'h0000005a,32'h000065f4);
3673
test.u_cmp(32'h00000091,32'h0000005a,32'h000032fa);
3674
test.u_cmp(32'h00000537,32'h00000029,32'h0000d5cf);
3675
test.u_cmp(32'h7ffffd64,32'h00000029,32'h7fff9504);
3676
test.u_cmp(32'h000003b3,32'h0000004e,32'h0001208a);
3677
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
3678
test.u_cmp(32'h00000593,32'h00000004,32'h0000164c);
3679
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
3680
test.u_cmp(32'h000007e4,32'h00000011,32'h00008624);
3681
test.u_cmp(32'h000003f2,32'h00000011,32'h00004312);
3682
test.u_cmp(32'h00000587,32'h0000007d,32'h0002b2eb);
3683
test.u_cmp(32'h000002c3,32'h0000007d,32'h00015937);
3684
test.u_cmp(32'h000006e2,32'h00000048,32'h0001ef90);
3685
test.u_cmp(32'h00000371,32'h00000048,32'h0000f7c8);
3686
test.u_cmp(32'h00000247,32'h0000003e,32'h00008d32);
3687
test.u_cmp(32'h00000123,32'h0000003e,32'h0000467a);
3688
test.u_cmp(32'h000004ef,32'h00000078,32'h00025008);
3689
test.u_cmp(32'h00000277,32'h00000078,32'h000127c8);
3690
test.u_cmp(32'h00000444,32'h0000000e,32'h00003bb8);
3691
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
3692
test.u_cmp(32'h0000056f,32'h0000007c,32'h0002a1c4);
3693
test.u_cmp(32'h000002b7,32'h0000007c,32'h000150a4);
3694
test.u_cmp(32'h00000424,32'h0000000f,32'h00003e1c);
3695
test.u_cmp(32'h00000212,32'h0000000f,32'h00001f0e);
3696
test.u_cmp(32'h00000789,32'h00000014,32'h000096b4);
3697
test.u_cmp(32'h000003c4,32'h00000014,32'h00004b50);
3698
test.u_cmp(32'h0000059e,32'h00000053,32'h0001d23a);
3699
test.u_cmp(32'h7ffffd31,32'h00000053,32'h7fff16e3);
3700
test.u_cmp(32'h00000068,32'h00000054,32'h00002220);
3701
test.u_cmp(32'h00000034,32'h00000054,32'h00001110);
3702
test.u_cmp(32'h0000079a,32'h00000043,32'h0001fd4e);
3703
test.u_cmp(32'h7ffffc33,32'h00000043,32'h7fff0159);
3704
test.u_cmp(32'h00000219,32'h00000010,32'h00002190);
3705
test.u_cmp(32'h7ffffef3,32'h00000010,32'hffffef30);
3706
test.u_cmp(32'h0000027a,32'h0000006c,32'h00010b78);
3707
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
3708
test.u_cmp(32'h0000019f,32'h00000073,32'h0000ba6d);
3709
test.u_cmp(32'h7fffff30,32'h00000073,32'h7fffa290);
3710
test.u_cmp(32'h00000398,32'h0000001c,32'h000064a0);
3711
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
3712
test.u_cmp(32'h000000e6,32'h00000029,32'h000024d6);
3713
test.u_cmp(32'h00000073,32'h00000029,32'h0000126b);
3714
test.u_cmp(32'h00000447,32'h00000073,32'h0001ebe5);
3715
test.u_cmp(32'h00000223,32'h00000073,32'h0000f5b9);
3716
test.u_cmp(32'h00000692,32'h0000004b,32'h0001ecc6);
3717
test.u_cmp(32'h7ffffcb7,32'h0000004b,32'h7fff099d);
3718
test.u_cmp(32'h0000025b,32'h00000012,32'h00002a66);
3719
test.u_cmp(32'h7ffffed2,32'h00000012,32'hffffeac4);
3720
test.u_cmp(32'h0000026a,32'h00000044,32'h0000a428);
3721
test.u_cmp(32'h00000135,32'h00000044,32'h00005214);
3722
test.u_cmp(32'h0000071b,32'h00000069,32'h0002ea13);
3723
test.u_cmp(32'h7ffffc72,32'h00000069,32'h7ffe8ac2);
3724
test.u_cmp(32'h000004b9,32'h0000000b,32'h000033f3);
3725
test.u_cmp(32'h7ffffda3,32'h0000000b,32'h7fffe601);
3726
test.u_cmp(32'h00000552,32'h0000007b,32'h00028e66);
3727
test.u_cmp(32'h7ffffd57,32'h0000007b,32'h7ffeb8cd);
3728
test.u_cmp(32'h0000042b,32'h0000000f,32'h00003e85);
3729
test.u_cmp(32'h00000215,32'h0000000f,32'h00001f3b);
3730
test.u_cmp(32'h00000576,32'h0000007c,32'h0002a528);
3731
test.u_cmp(32'h7ffffd45,32'h0000007c,32'hfffead6c);
3732
test.u_cmp(32'h000006de,32'h00000067,32'h0002c352);
3733
test.u_cmp(32'h7ffffc91,32'h00000067,32'h7ffe9e57);
3734
test.u_cmp(32'h000004c8,32'h0000000a,32'h00002fd0);
3735
test.u_cmp(32'h00000264,32'h0000000a,32'h000017e8);
3736
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
3737
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
3738
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
3739
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
3740
test.u_cmp(32'h0000078b,32'h0000003c,32'h0001c494);
3741
test.u_cmp(32'h000003c5,32'h0000003c,32'h0000e22c);
3742
test.u_cmp(32'h0000031e,32'h00000067,32'h00014112);
3743
test.u_cmp(32'h7ffffe71,32'h00000067,32'h7fff5f77);
3744
test.u_cmp(32'h00000338,32'h00000037,32'h0000b108);
3745
test.u_cmp(32'h7ffffe64,32'h00000037,32'h7fffa77c);
3746
test.u_cmp(32'h000004b2,32'h00000076,32'h00022a0c);
3747
test.u_cmp(32'h7ffffda7,32'h00000076,32'hfffeeafa);
3748
test.u_cmp(32'h00000453,32'h0000000e,32'h00003c8a);
3749
test.u_cmp(32'h7ffffdd6,32'h0000000e,32'hffffe1b4);
3750
test.u_cmp(32'h00000794,32'h0000003c,32'h0001c6b0);
3751
test.u_cmp(32'h7ffffc36,32'h0000003c,32'hffff1ca8);
3752
test.u_cmp(32'h0000031b,32'h00000049,32'h0000e2b3);
3753
test.u_cmp(32'h7ffffe72,32'h00000049,32'h7fff8e82);
3754
test.u_cmp(32'h000005b9,32'h0000002d,32'h00010185);
3755
test.u_cmp(32'h7ffffd23,32'h0000002d,32'h7fff7f27);
3756
test.u_cmp(32'h0000016e,32'h0000000b,32'h00000fba);
3757
test.u_cmp(32'h000000b7,32'h0000000b,32'h000007dd);
3758
test.u_cmp(32'h000002a5,32'h00000046,32'h0000b91e);
3759
test.u_cmp(32'h00000152,32'h00000046,32'h00005c6c);
3760
test.u_cmp(32'h0000072a,32'h00000046,32'h0001f57c);
3761
test.u_cmp(32'h00000395,32'h00000046,32'h0000fabe);
3762
test.u_cmp(32'h000000cb,32'h00000057,32'h000044fd);
3763
test.u_cmp(32'h00000065,32'h00000057,32'h00002253);
3764
test.u_cmp(32'h0000054d,32'h0000002a,32'h0000dea2);
3765
test.u_cmp(32'h000002a6,32'h0000002a,32'h00006f3c);
3766
test.u_cmp(32'h00000153,32'h0000000a,32'h00000d3e);
3767
test.u_cmp(32'h7fffff56,32'h0000000a,32'hfffff95c);
3768
test.u_cmp(32'h000002ac,32'h0000006a,32'h00011b38);
3769
test.u_cmp(32'h00000156,32'h0000006a,32'h00008d9c);
3770
test.u_cmp(32'h000001ab,32'h0000005e,32'h00009cca);
3771
test.u_cmp(32'h000000d5,32'h0000005e,32'h00004e36);
3772
test.u_cmp(32'h000007eb,32'h00000040,32'h0001fac0);
3773
test.u_cmp(32'h000003f5,32'h00000040,32'h0000fd40);
3774
test.u_cmp(32'h00000205,32'h00000040,32'h00008140);
3775
test.u_cmp(32'h00000102,32'h00000040,32'h00004080);
3776
test.u_cmp(32'h000004ff,32'h00000078,32'h00025788);
3777
test.u_cmp(32'h7ffffd80,32'h00000078,32'hfffed400);
3778
test.u_cmp(32'h00000440,32'h0000000e,32'h00003b80);
3779
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
3780
test.u_cmp(32'h00000790,32'h0000003c,32'h0001c5c0);
3781
test.u_cmp(32'h7ffffc38,32'h0000003c,32'hffff1d20);
3782
test.u_cmp(32'h0000031c,32'h00000067,32'h00014044);
3783
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
3784
test.u_cmp(32'h00000339,32'h00000037,32'h0000b13f);
3785
test.u_cmp(32'h7ffffe63,32'h00000037,32'h7fffa745);
3786
test.u_cmp(32'h000004b2,32'h0000005a,32'h0001a694);
3787
test.u_cmp(32'h7ffffda7,32'h0000005a,32'hffff2cb6);
3788
test.u_cmp(32'h0000002d,32'h00000052,32'h00000e6a);
3789
test.u_cmp(32'h00000016,32'h00000052,32'h0000070c);
3790
test.u_cmp(32'h0000078c,32'h00000043,32'h0001f9a4);
3791
test.u_cmp(32'h000003c6,32'h00000043,32'h0000fcd2);
3792
test.u_cmp(32'h0000021d,32'h00000040,32'h00008740);
3793
test.u_cmp(32'h7ffffef1,32'h00000040,32'hffffbc40);
3794
test.u_cmp(32'h000004f9,32'h00000058,32'h0001b598);
3795
test.u_cmp(32'h7ffffd83,32'h00000058,32'hffff2508);
3796
test.u_cmp(32'h000002c1,32'h0000003a,32'h00009fba);
3797
test.u_cmp(32'h00000160,32'h0000003a,32'h00004fc0);
3798
test.u_cmp(32'h000004d0,32'h00000077,32'h00023cb0);
3799
test.u_cmp(32'h00000268,32'h00000077,32'h00011e58);
3800
test.u_cmp(32'h000006b4,32'h00000066,32'h0002abb8);
3801
test.u_cmp(32'h7ffffca6,32'h00000066,32'hfffeaa24);
3802
test.u_cmp(32'h0000062d,32'h00000062,32'h00025d3a);
3803
test.u_cmp(32'h00000316,32'h00000062,32'h00012e6c);
3804
test.u_cmp(32'h0000060c,32'h0000004f,32'h0001ddb4);
3805
test.u_cmp(32'h00000306,32'h0000004f,32'h0000eeda);
3806
test.u_cmp(32'h0000027d,32'h00000013,32'h00002f47);
3807
test.u_cmp(32'h7ffffec1,32'h00000013,32'h7fffe853);
3808
test.u_cmp(32'h00000261,32'h0000006c,32'h000100ec);
3809
test.u_cmp(32'h00000130,32'h0000006c,32'h00008040);
3810
test.u_cmp(32'h00000199,32'h0000005d,32'h00009495);
3811
test.u_cmp(32'h7fffff33,32'h0000005d,32'h7fffb587);
3812
test.u_cmp(32'h000007e7,32'h00000040,32'h0001f9c0);
3813
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
3814
test.u_cmp(32'h00000206,32'h00000040,32'h00008180);
3815
test.u_cmp(32'h00000103,32'h00000040,32'h000040c0);
3816
test.u_cmp(32'h00000601,32'h00000020,32'h0000c020);
3817
test.u_cmp(32'h00000300,32'h00000020,32'h00006000);
3818
test.u_cmp(32'h00000600,32'h00000080,32'h00030000);
3819
test.u_cmp(32'h7ffffd00,32'h00000080,32'hfffe8000);
3820
test.u_cmp(32'h00000700,32'h00000068,32'h0002d800);
3821
test.u_cmp(32'h7ffffc80,32'h00000068,32'hfffe9400);
3822
test.u_cmp(32'h00000640,32'h0000004e,32'h0001e780);
3823
test.u_cmp(32'h7ffffce0,32'h0000004e,32'hffff0c40);
3824
test.u_cmp(32'h00000090,32'h00000055,32'h00002fd0);
3825
test.u_cmp(32'h00000048,32'h00000055,32'h000017e8);
3826
test.u_cmp(32'h000007a4,32'h00000042,32'h0001f848);
3827
test.u_cmp(32'h000003d2,32'h00000042,32'h0000fc24);
3828
test.u_cmp(32'h00000217,32'h00000040,32'h000085c0);
3829
test.u_cmp(32'h7ffffef4,32'h00000040,32'hffffbd00);
3830
test.u_cmp(32'h00000605,32'h00000020,32'h0000c0a0);
3831
test.u_cmp(32'h00000302,32'h00000020,32'h00006040);
3832
test.u_cmp(32'h00000701,32'h00000018,32'h0000a818);
3833
test.u_cmp(32'h00000380,32'h00000018,32'h00005400);
3834
test.u_cmp(32'h000005c0,32'h0000007e,32'h0002d480);
3835
test.u_cmp(32'h7ffffd20,32'h0000007e,32'hfffe95c0);
3836
test.u_cmp(32'h00000410,32'h00000010,32'h00004100);
3837
test.u_cmp(32'h7ffffdf8,32'h00000010,32'hffffdf80);
3838
test.u_cmp(32'h0000057c,32'h0000007c,32'h0002a810);
3839
test.u_cmp(32'h7ffffd42,32'h0000007c,32'hfffeabf8);
3840
test.u_cmp(32'h000006df,32'h00000067,32'h0002c3b9);
3841
test.u_cmp(32'h7ffffc90,32'h00000067,32'h7ffe9df0);
3842
test.u_cmp(32'h00000638,32'h00000062,32'h00026170);
3843
test.u_cmp(32'h7ffffce4,32'h00000062,32'hfffecf48);
3844
test.u_cmp(32'h0000060e,32'h00000061,32'h00024b4e);
3845
test.u_cmp(32'h00000307,32'h00000061,32'h000125a7);
3846
test.u_cmp(32'h00000604,32'h00000061,32'h00024784);
3847
test.u_cmp(32'h00000302,32'h00000061,32'h000123c2);
3848
test.u_cmp(32'h00000601,32'h0000004f,32'h0001da4f);
3849
test.u_cmp(32'h00000300,32'h0000004f,32'h0000ed00);
3850
test.u_cmp(32'h00000081,32'h0000007b,32'h00003dfb);
3851
test.u_cmp(32'h00000040,32'h0000007b,32'h00001ec0);
3852
test.u_cmp(32'h000003df,32'h00000032,32'h0000c18e);
3853
test.u_cmp(32'h7ffffe10,32'h00000032,32'hffff9f20);
3854
test.u_cmp(32'h00000489,32'h00000075,32'h0002129d);
3855
test.u_cmp(32'h00000244,32'h00000075,32'h00010914);
3856
test.u_cmp(32'h000006a3,32'h00000066,32'h0002a4f2);
3857
test.u_cmp(32'h00000351,32'h00000066,32'h00015246);
3858
test.u_cmp(32'h00000629,32'h00000062,32'h00025bb2);
3859
test.u_cmp(32'h00000314,32'h00000062,32'h00012da8);
3860
test.u_cmp(32'h0000060b,32'h0000004f,32'h0001dd65);
3861
test.u_cmp(32'h00000305,32'h0000004f,32'h0000ee8b);
3862
test.u_cmp(32'h0000027d,32'h00000013,32'h00002f47);
3863
test.u_cmp(32'h7ffffec1,32'h00000013,32'h7fffe853);
3864
test.u_cmp(32'h0000009f,32'h00000004,32'h0000027c);
3865
test.u_cmp(32'h7fffffb0,32'h00000004,32'hfffffec0);
3866
test.u_cmp(32'h000002d9,32'h00000047,32'h0000ca2f);
3867
test.u_cmp(32'h7ffffe93,32'h00000047,32'h7fff9ac5);
3868
test.u_cmp(32'h00000737,32'h00000046,32'h0001f90a);
3869
test.u_cmp(32'h7ffffc64,32'h00000046,32'hffff0358);
3870
test.u_cmp(32'h000000ce,32'h00000079,32'h0000615e);
3871
test.u_cmp(32'h00000067,32'h00000079,32'h000030af);
3872
test.u_cmp(32'h00000134,32'h00000076,32'h00008df8);
3873
test.u_cmp(32'h7fffff66,32'h00000076,32'hffffb904);
3874
test.u_cmp(32'h0000014d,32'h00000075,32'h00009831);
3875
test.u_cmp(32'h000000a6,32'h00000075,32'h00004bde);
3876
test.u_cmp(32'h00000154,32'h00000075,32'h00009b64);
3877
test.u_cmp(32'h7fffff56,32'h00000075,32'h7fffb24e);
3878
test.u_cmp(32'h000003ab,32'h00000033,32'h0000bb11);
3879
test.u_cmp(32'h000001d5,32'h00000033,32'h00005d6f);
3880
test.u_cmp(32'h0000066a,32'h0000001d,32'h0000ba02);
3881
test.u_cmp(32'h00000335,32'h0000001d,32'h00005d01);
3882
test.u_cmp(32'h000005e6,32'h00000050,32'h0001d7e0);
3883
test.u_cmp(32'h000002f3,32'h00000050,32'h0000ebf0);
3884
test.u_cmp(32'h00000286,32'h0000003c,32'h00009768);
3885
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
3886
test.u_cmp(32'h00000621,32'h0000001f,32'h0000bdff);
3887
test.u_cmp(32'h00000310,32'h0000001f,32'h00005ef0);
3888
test.u_cmp(32'h00000708,32'h00000018,32'h0000a8c0);
3889
test.u_cmp(32'h00000384,32'h00000018,32'h00005460);
3890
test.u_cmp(32'h000005be,32'h0000007e,32'h0002d384);
3891
test.u_cmp(32'h7ffffd21,32'h0000007e,32'hfffe963e);
3892
test.u_cmp(32'h00000410,32'h00000020,32'h00008200);
3893
test.u_cmp(32'h7ffffdf8,32'h00000020,32'hffffbf00);
3894
test.u_cmp(32'h00000104,32'h00000028,32'h000028a0);
3895
test.u_cmp(32'h00000082,32'h00000028,32'h00001450);
3896
test.u_cmp(32'h000006c1,32'h00000036,32'h00016cb6);
3897
test.u_cmp(32'h00000360,32'h00000036,32'h0000b640);
3898
test.u_cmp(32'h000001b0,32'h00000023,32'h00003b10);
3899
test.u_cmp(32'h7fffff28,32'h00000023,32'h7fffe278);
3900
test.u_cmp(32'h000006ec,32'h00000037,32'h00017cb4);
3901
test.u_cmp(32'h00000376,32'h00000037,32'h0000be5a);
3902
test.u_cmp(32'h00000345,32'h0000004b,32'h0000f537);
3903
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
3904
test.u_cmp(32'h000005ae,32'h0000002d,32'h0000ff96);
3905
test.u_cmp(32'h000002d7,32'h0000002d,32'h00007fcb);
3906
test.u_cmp(32'h00000395,32'h00000063,32'h0001629f);
3907
test.u_cmp(32'h7ffffe35,32'h00000063,32'h7fff4e7f);
3908
test.u_cmp(32'h0000031a,32'h00000018,32'h00004a70);
3909
test.u_cmp(32'h7ffffe73,32'h00000018,32'hffffdac8);
3910
test.u_cmp(32'h000000c6,32'h00000006,32'h000004a4);
3911
test.u_cmp(32'h00000063,32'h00000006,32'h00000252);
3912
test.u_cmp(32'h000002cf,32'h00000047,32'h0000c769);
3913
test.u_cmp(32'h00000167,32'h00000047,32'h00006391);
3914
test.u_cmp(32'h00000734,32'h0000006a,32'h0002fb88);
3915
test.u_cmp(32'h7ffffc66,32'h0000006a,32'hfffe823c);
3916
test.u_cmp(32'h0000064d,32'h0000004d,32'h0001e529);
3917
test.u_cmp(32'h00000326,32'h0000004d,32'h0000f26e);
3918
test.u_cmp(32'h0000026c,32'h00000013,32'h00002e04);
3919
test.u_cmp(32'h00000136,32'h00000013,32'h00001702);
3920
test.u_cmp(32'h0000009b,32'h00000004,32'h0000026c);
3921
test.u_cmp(32'h7fffffb2,32'h00000004,32'hfffffec8);
3922
test.u_cmp(32'h00000026,32'h0000002f,32'h000006fa);
3923
test.u_cmp(32'h00000013,32'h0000002f,32'h0000037d);
3924
test.u_cmp(32'h00000689,32'h00000034,32'h000153d4);
3925
test.u_cmp(32'h00000344,32'h00000034,32'h0000a9d0);
3926
test.u_cmp(32'h0000035e,32'h00000065,32'h00015416);
3927
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
3928
test.u_cmp(32'h000001d8,32'h0000005f,32'h0000af28);
3929
test.u_cmp(32'h7fffff14,32'h0000005f,32'h7fffa86c);
3930
test.u_cmp(32'h0000050a,32'h00000028,32'h0000c990);
3931
test.u_cmp(32'h00000285,32'h00000028,32'h000064c8);
3932
test.u_cmp(32'h000003be,32'h00000062,32'h00016ebc);
3933
test.u_cmp(32'h7ffffe21,32'h00000062,32'hffff48a2);
3934
test.u_cmp(32'h00000310,32'h00000018,32'h00004980);
3935
test.u_cmp(32'h7ffffe78,32'h00000018,32'hffffdb40);
3936
test.u_cmp(32'h000000c4,32'h0000002a,32'h00002028);
3937
test.u_cmp(32'h00000062,32'h0000002a,32'h00001014);
3938
test.u_cmp(32'h000006b1,32'h00000035,32'h000162a5);
3939
test.u_cmp(32'h7ffffca7,32'h00000035,32'h7fff4e93);
3940
test.u_cmp(32'h000001ac,32'h00000023,32'h00003a84);
3941
test.u_cmp(32'h000000d6,32'h00000023,32'h00001d42);
3942
test.u_cmp(32'h000006eb,32'h00000037,32'h00017c7d);
3943
test.u_cmp(32'h00000375,32'h00000037,32'h0000be23);
3944
test.u_cmp(32'h00000346,32'h00000065,32'h00014a9e);
3945
test.u_cmp(32'h000001a3,32'h00000065,32'h0000a54f);
3946
test.u_cmp(32'h0000032e,32'h00000019,32'h00004f7e);
3947
test.u_cmp(32'h00000197,32'h00000019,32'h000027bf);
3948
test.u_cmp(32'h00000235,32'h00000042,32'h000091aa);
3949
test.u_cmp(32'h7ffffee5,32'h00000042,32'hffffb70a);
3950
test.u_cmp(32'h0000070e,32'h00000069,32'h0002e4be);
3951
test.u_cmp(32'h00000387,32'h00000069,32'h0001725f);
3952
test.u_cmp(32'h000004bc,32'h00000025,32'h0000af2c);
3953
test.u_cmp(32'h7ffffda2,32'h00000025,32'h7fffa86a);
3954
test.u_cmp(32'h000003d1,32'h0000004f,32'h00012d7f);
3955
test.u_cmp(32'h7ffffe17,32'h0000004f,32'h7fff6919);
3956
test.u_cmp(32'h00000775,32'h0000006c,32'h0003255c);
3957
test.u_cmp(32'h7ffffc45,32'h0000006c,32'hfffe6d1c);
3958
test.u_cmp(32'h0000065e,32'h0000004d,32'h0001ea46);
3959
test.u_cmp(32'h7ffffcd1,32'h0000004d,32'h7fff0add);
3960
test.u_cmp(32'h00000268,32'h00000013,32'h00002db8);
3961
test.u_cmp(32'h00000134,32'h00000013,32'h000016dc);
3962
test.u_cmp(32'h0000009a,32'h0000002c,32'h00001a78);
3963
test.u_cmp(32'h7fffffb3,32'h0000002c,32'hfffff2c4);
3964
test.u_cmp(32'h000006a6,32'h00000035,32'h0001605e);
3965
test.u_cmp(32'h00000353,32'h00000035,32'h0000b02f);
3966
test.u_cmp(32'h00000357,32'h0000004b,32'h0000fa7d);
3967
test.u_cmp(32'h7ffffe54,32'h0000004b,32'h7fff829c);
3968
test.u_cmp(32'h000005aa,32'h00000003,32'h000010fe);
3969
test.u_cmp(32'h000002d5,32'h00000003,32'h0000087f);
3970
test.u_cmp(32'h000007ea,32'h0000003f,32'h0001f296);
3971
test.u_cmp(32'h000003f5,32'h0000003f,32'h0000f94b);
3972
test.u_cmp(32'h00000306,32'h00000049,32'h0000dcb6);
3973
test.u_cmp(32'h00000183,32'h00000049,32'h00006e5b);
3974
test.u_cmp(32'h00000742,32'h00000045,32'h0001f4ca);
3975
test.u_cmp(32'h000003a1,32'h00000045,32'h0000fa65);
3976
test.u_cmp(32'h000000d1,32'h00000079,32'h000062c9);
3977
test.u_cmp(32'h7fffff97,32'h00000079,32'h7fffce5f);
3978
test.u_cmp(32'h00000135,32'h0000005a,32'h00006ca2);
3979
test.u_cmp(32'h7fffff65,32'h0000005a,32'hffffc982);
3980
test.u_cmp(32'h00000532,32'h00000029,32'h0000d502);
3981
test.u_cmp(32'h7ffffd67,32'h00000029,32'h7fff957f);
3982
test.u_cmp(32'h0000014c,32'h0000000a,32'h00000cf8);
3983
test.u_cmp(32'h000000a6,32'h0000000a,32'h0000067c);
3984
test.u_cmp(32'h000002ad,32'h00000046,32'h0000bb4e);
3985
test.u_cmp(32'h00000156,32'h00000046,32'h00005d84);
3986
test.u_cmp(32'h000005d4,32'h00000002,32'h00000ba8);
3987
test.u_cmp(32'h7ffffd16,32'h00000002,32'hfffffa2c);
3988
test.u_cmp(32'h0000050b,32'h00000079,32'h00026233);
3989
test.u_cmp(32'h00000285,32'h00000079,32'h000130dd);
3990
test.u_cmp(32'h0000043d,32'h00000021,32'h00008bdd);
3991
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
3992
test.u_cmp(32'h000003f1,32'h00000050,32'h00013b50);
3993
test.u_cmp(32'h7ffffe07,32'h00000050,32'hffff6230);
3994
test.u_cmp(32'h00000583,32'h0000002c,32'h0000f284);
3995
test.u_cmp(32'h000002c1,32'h0000002c,32'h0000792c);
3996
test.u_cmp(32'h000003a0,32'h00000063,32'h000166e0);
3997
test.u_cmp(32'h7ffffe30,32'h00000063,32'h7fff4c90);
3998
test.u_cmp(32'h000001e8,32'h00000070,32'h0000d580);
3999
test.u_cmp(32'h000000f4,32'h00000070,32'h00006ac0);
4000
test.u_cmp(32'h0000017a,32'h0000005c,32'h000087d8);
4001
test.u_cmp(32'h7fffff43,32'h0000005c,32'hffffbc14);
4002
test.u_cmp(32'h00000521,32'h00000029,32'h0000d249);
4003
test.u_cmp(32'h00000290,32'h00000029,32'h00006910);
4004
test.u_cmp(32'h00000148,32'h00000026,32'h000030b0);
4005
test.u_cmp(32'h000000a4,32'h00000026,32'h00001858);
4006
test.u_cmp(32'h000006d2,32'h00000036,32'h0001704c);
4007
test.u_cmp(32'h7ffffc97,32'h00000036,32'hffff47da);
4008
test.u_cmp(32'h000001b4,32'h00000023,32'h00003b9c);
4009
test.u_cmp(32'h7fffff26,32'h00000023,32'h7fffe232);
4010
test.u_cmp(32'h00000413,32'h0000005f,32'h0001830d);
4011
test.u_cmp(32'h7ffffdf6,32'h0000005f,32'h7fff3e4a);
4012
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
4013
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
4014
test.u_cmp(32'h0000063e,32'h0000001f,32'h0000c182);
4015
test.u_cmp(32'h7ffffce1,32'h0000001f,32'h7fff9f3f);
4016
test.u_cmp(32'h0000070f,32'h00000038,32'h00018b48);
4017
test.u_cmp(32'h00000387,32'h00000038,32'h0000c588);
4018
test.u_cmp(32'h000001c3,32'h0000000e,32'h000018aa);
4019
test.u_cmp(32'h000000e1,32'h0000000e,32'h00000c4e);
4020
test.u_cmp(32'h00000070,32'h00000003,32'h00000150);
4021
test.u_cmp(32'h7fffffc8,32'h00000003,32'h7fffff58);
4022
test.u_cmp(32'h000002e4,32'h00000068,32'h00012ca0);
4023
test.u_cmp(32'h00000172,32'h00000068,32'h00009650);
4024
test.u_cmp(32'h00000347,32'h0000001a,32'h00005536);
4025
test.u_cmp(32'h000001a3,32'h0000001a,32'h00002a8e);
4026
test.u_cmp(32'h000000d1,32'h00000006,32'h000004e6);
4027
test.u_cmp(32'h7fffff97,32'h00000006,32'hfffffd8a);
4028
test.u_cmp(32'h000002cc,32'h00000047,32'h0000c694);
4029
test.u_cmp(32'h00000166,32'h00000047,32'h0000634a);
4030
test.u_cmp(32'h00000733,32'h00000046,32'h0001f7f2);
4031
test.u_cmp(32'h7ffffc66,32'h00000046,32'hffff03e4);
4032
test.u_cmp(32'h00000233,32'h0000003f,32'h00008a8d);
4033
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
4034
test.u_cmp(32'h0000060c,32'h00000030,32'h00012240);
4035
test.u_cmp(32'h00000306,32'h00000030,32'h00009120);
4036
test.u_cmp(32'h0000037d,32'h00000064,32'h00015cd4);
4037
test.u_cmp(32'h7ffffe41,32'h00000064,32'hffff5164);
4038
test.u_cmp(32'h000001e0,32'h00000071,32'h0000d3e0);
4039
test.u_cmp(32'h7fffff10,32'h00000071,32'h7fff9610);
4040
test.u_cmp(32'h00000178,32'h0000005c,32'h00008720);
4041
test.u_cmp(32'h7fffff44,32'h0000005c,32'hffffbc70);
4042
test.u_cmp(32'h000007de,32'h0000006f,32'h00036942);
4043
test.u_cmp(32'h7ffffc11,32'h0000006f,32'h7ffe4b5f);
4044
test.u_cmp(32'h00000678,32'h0000004c,32'h0001eba0);
4045
test.u_cmp(32'h7ffffcc4,32'h0000004c,32'hffff0a30);
4046
test.u_cmp(32'h0000009e,32'h0000007b,32'h00004bea);
4047
test.u_cmp(32'h7fffffb1,32'h0000007b,32'h7fffda0b);
4048
test.u_cmp(32'h000003d8,32'h0000001e,32'h00007350);
4049
test.u_cmp(32'h7ffffe14,32'h0000001e,32'hffffc658);
4050
test.u_cmp(32'h000000f6,32'h00000029,32'h00002766);
4051
test.u_cmp(32'h7fffff85,32'h00000029,32'h7fffec4d);
4052
test.u_cmp(32'h00000443,32'h0000005d,32'h00018c57);
4053
test.u_cmp(32'h00000221,32'h0000005d,32'h0000c5fd);
4054
test.u_cmp(32'h00000011,32'h00000051,32'h00000561);
4055
test.u_cmp(32'h7ffffff7,32'h00000051,32'h7ffffd27);
4056
test.u_cmp(32'h00000785,32'h00000043,32'h0001f7cf);
4057
test.u_cmp(32'h000003c2,32'h00000043,32'h0000fbc6);
4058
test.u_cmp(32'h000000e2,32'h00000058,32'h00004db0);
4059
test.u_cmp(32'h00000071,32'h00000058,32'h000026d8);
4060
test.u_cmp(32'h000007b9,32'h0000006e,32'h0003517e);
4061
test.u_cmp(32'h7ffffc23,32'h0000006e,32'hfffe570a);
4062
test.u_cmp(32'h0000066f,32'h00000064,32'h0002835c);
4063
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
4064
test.u_cmp(32'h000004e4,32'h00000027,32'h0000bebc);
4065
test.u_cmp(32'h00000272,32'h00000027,32'h00005f5e);
4066
test.u_cmp(32'h00000139,32'h00000009,32'h00000b01);
4067
test.u_cmp(32'h7fffff63,32'h00000009,32'h7ffffa7b);
4068
test.u_cmp(32'h000002b2,32'h00000046,32'h0000bcac);
4069
test.u_cmp(32'h7ffffea7,32'h00000046,32'hffffa1aa);
4070
test.u_cmp(32'h0000072d,32'h0000006a,32'h0002f8a2);
4071
test.u_cmp(32'h00000396,32'h0000006a,32'h00017c1c);
4072
test.u_cmp(32'h000004b4,32'h0000000b,32'h000033bc);
4073
test.u_cmp(32'h7ffffda6,32'h0000000b,32'h7fffe622);
4074
test.u_cmp(32'h00000553,32'h00000055,32'h0001c48f);
4075
test.u_cmp(32'h7ffffd56,32'h00000055,32'h7fff1d8e);
4076
test.u_cmp(32'h00000055,32'h0000007d,32'h00002981);
4077
test.u_cmp(32'h7fffffd5,32'h0000007d,32'h7fffeb01);
4078
test.u_cmp(32'h00000116,32'h00000059,32'h000060a6);
4079
test.u_cmp(32'h7fffff75,32'h00000059,32'h7fffcfad);
4080
test.u_cmp(32'h0000053a,32'h00000007,32'h00002496);
4081
test.u_cmp(32'h7ffffd63,32'h00000007,32'h7fffedb5);
4082
test.u_cmp(32'h000007ce,32'h00000012,32'h00008c7c);
4083
test.u_cmp(32'h000003e7,32'h00000012,32'h0000463e);
4084
test.u_cmp(32'h0000058d,32'h0000007d,32'h0002b5d9);
4085
test.u_cmp(32'h000002c6,32'h0000007d,32'h00015aae);
4086
test.u_cmp(32'h000006e4,32'h00000048,32'h0001f020);
4087
test.u_cmp(32'h00000372,32'h00000048,32'h0000f810);
4088
test.u_cmp(32'h00000247,32'h00000012,32'h000028fe);
4089
test.u_cmp(32'h00000123,32'h00000012,32'h00001476);
4090
test.u_cmp(32'h0000026f,32'h0000006c,32'h000106d4);
4091
test.u_cmp(32'h00000137,32'h0000006c,32'h00008334);
4092
test.u_cmp(32'h0000019c,32'h0000005d,32'h000095ac);
4093
test.u_cmp(32'h7fffff32,32'h0000005d,32'h7fffb52a);
4094
test.u_cmp(32'h000007e7,32'h00000040,32'h0001f9c0);
4095
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
4096
test.u_cmp(32'h00000206,32'h00000040,32'h00008180);
4097
test.u_cmp(32'h00000103,32'h00000040,32'h000040c0);
4098
test.u_cmp(32'h00000601,32'h00000030,32'h00012030);
4099
test.u_cmp(32'h00000300,32'h00000030,32'h00009000);
4100
test.u_cmp(32'h00000380,32'h0000004c,32'h00010a00);
4101
test.u_cmp(32'h7ffffe40,32'h0000004c,32'hffff7b00);
4102
test.u_cmp(32'h000005a0,32'h0000002d,32'h0000fd20);
4103
test.u_cmp(32'h000002d0,32'h0000002d,32'h00007e90);
4104
test.u_cmp(32'h00000398,32'h00000063,32'h000163c8);
4105
test.u_cmp(32'h7ffffe34,32'h00000063,32'h7fff4e1c);
4106
test.u_cmp(32'h000001e6,32'h00000060,32'h0000b640);
4107
test.u_cmp(32'h000000f3,32'h00000060,32'h00005b20);
4108
test.u_cmp(32'h000007fa,32'h00000040,32'h0001fe80);
4109
test.u_cmp(32'h7ffffc03,32'h00000040,32'hffff00c0);
4110
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
4111
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
4112
test.u_cmp(32'h000003c0,32'h0000001e,32'h00007080);
4113
test.u_cmp(32'h000001e0,32'h0000001e,32'h00003840);
4114
test.u_cmp(32'h000000f0,32'h00000029,32'h00002670);
4115
test.u_cmp(32'h7fffff88,32'h00000029,32'h7fffecc8);
4116
test.u_cmp(32'h00000444,32'h00000073,32'h0001ea8c);
4117
test.u_cmp(32'h00000222,32'h00000073,32'h0000f546);
4118
 
4119
test.cg.next(200);
4120
test.cg.exit;
4121
end
4122
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.