OpenCores
URL https://opencores.org/ocsvn/spacewire/spacewire/trunk

Subversion Repositories spacewire

[/] [spacewire/] [tags/] [arelease/] [rtl/] [SWR_vlogcore.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 btltz
//File name=Module name=SWR_vlogcore  2005-03-23      btltz@mail.china.com    btltz from CASIC  
2
//Description:   The SpaceWire Router top module. 
3
//Abbreviations:       
4
//Area:  
5
//Origin:    SpaceWire Std - Draft-1(Clause 9/10)of ECSS(European Cooperation for Space Standardization),ESTEC,ESA.
6
//           SpaceWire Router Requirements Specification Issue 1 Rev 5. Astrium & University of Dundee 
7
//--     TODO:
8
////////////////////////////////////////////////////////////////////////////////////
9
//
10
//
11
/*synthesis translate off*/
12
`timescale 1ns/10ps
13
/*synthesis translate on */
14
//`include "defines.v"
15
`define reset  1
16
module SWR_vlogcore   //#(parameter DW=8,PortNUM=8,EXPortNUM=2)  //there should be sufficient ports
17
                (
18
                 output reg [3:0] gpio,
19
                                          input reset, gclk
20
                                          );
21
 
22
//////////////////
23
// Instantiations
24
SwitchCore  inst_RoutingMatrix ();
25
         //parameterized inst
26
generate
27
begin:IO_PORTS
28
 genvar i;
29
 for (i=0; i<=PortNUM; i=i+1)
30
 begin:inst
31
  SPW_CODEC  inst_Link_I_n();
32
 end
33
end
34
endgenerate  //end Link Interface  1 -> PortNUM
35
 
36
 
37
 
38
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.