OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [ulight_fifo_inst.v] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
        ulight_fifo u0 (
2
                .auto_start_external_connection_export           (<connected-to-auto_start_external_connection_export>),           //           auto_start_external_connection.export
3
                .clk_clk                                         (<connected-to-clk_clk>),                                         //                                      clk.clk
4
                .clock_sel_external_connection_export            (<connected-to-clock_sel_external_connection_export>),            //            clock_sel_external_connection.export
5
                .counter_rx_fifo_external_connection_export      (<connected-to-counter_rx_fifo_external_connection_export>),      //      counter_rx_fifo_external_connection.export
6
                .counter_tx_fifo_external_connection_export      (<connected-to-counter_tx_fifo_external_connection_export>),      //      counter_tx_fifo_external_connection.export
7
                .data_flag_rx_external_connection_export         (<connected-to-data_flag_rx_external_connection_export>),         //         data_flag_rx_external_connection.export
8
                .data_info_external_connection_export            (<connected-to-data_info_external_connection_export>),            //            data_info_external_connection.export
9
                .data_read_en_rx_external_connection_export      (<connected-to-data_read_en_rx_external_connection_export>),      //      data_read_en_rx_external_connection.export
10
                .fifo_empty_rx_status_external_connection_export (<connected-to-fifo_empty_rx_status_external_connection_export>), // fifo_empty_rx_status_external_connection.export
11
                .fifo_empty_tx_status_external_connection_export (<connected-to-fifo_empty_tx_status_external_connection_export>), // fifo_empty_tx_status_external_connection.export
12
                .fifo_full_rx_status_external_connection_export  (<connected-to-fifo_full_rx_status_external_connection_export>),  //  fifo_full_rx_status_external_connection.export
13
                .fifo_full_tx_status_external_connection_export  (<connected-to-fifo_full_tx_status_external_connection_export>),  //  fifo_full_tx_status_external_connection.export
14
                .fsm_info_external_connection_export             (<connected-to-fsm_info_external_connection_export>),             //             fsm_info_external_connection.export
15
                .led_pio_test_external_connection_export         (<connected-to-led_pio_test_external_connection_export>),         //         led_pio_test_external_connection.export
16
                .link_disable_external_connection_export         (<connected-to-link_disable_external_connection_export>),         //         link_disable_external_connection.export
17
                .link_start_external_connection_export           (<connected-to-link_start_external_connection_export>),           //           link_start_external_connection.export
18
                .memory_mem_a                                    (<connected-to-memory_mem_a>),                                    //                                   memory.mem_a
19
                .memory_mem_ba                                   (<connected-to-memory_mem_ba>),                                   //                                         .mem_ba
20
                .memory_mem_ck                                   (<connected-to-memory_mem_ck>),                                   //                                         .mem_ck
21
                .memory_mem_ck_n                                 (<connected-to-memory_mem_ck_n>),                                 //                                         .mem_ck_n
22
                .memory_mem_cke                                  (<connected-to-memory_mem_cke>),                                  //                                         .mem_cke
23
                .memory_mem_cs_n                                 (<connected-to-memory_mem_cs_n>),                                 //                                         .mem_cs_n
24
                .memory_mem_ras_n                                (<connected-to-memory_mem_ras_n>),                                //                                         .mem_ras_n
25
                .memory_mem_cas_n                                (<connected-to-memory_mem_cas_n>),                                //                                         .mem_cas_n
26
                .memory_mem_we_n                                 (<connected-to-memory_mem_we_n>),                                 //                                         .mem_we_n
27
                .memory_mem_reset_n                              (<connected-to-memory_mem_reset_n>),                              //                                         .mem_reset_n
28
                .memory_mem_dq                                   (<connected-to-memory_mem_dq>),                                   //                                         .mem_dq
29
                .memory_mem_dqs                                  (<connected-to-memory_mem_dqs>),                                  //                                         .mem_dqs
30
                .memory_mem_dqs_n                                (<connected-to-memory_mem_dqs_n>),                                //                                         .mem_dqs_n
31
                .memory_mem_odt                                  (<connected-to-memory_mem_odt>),                                  //                                         .mem_odt
32
                .memory_mem_dm                                   (<connected-to-memory_mem_dm>),                                   //                                         .mem_dm
33
                .memory_oct_rzqin                                (<connected-to-memory_oct_rzqin>),                                //                                         .oct_rzqin
34
                .pll_0_locked_export                             (<connected-to-pll_0_locked_export>),                             //                             pll_0_locked.export
35
                .pll_0_outclk0_clk                               (<connected-to-pll_0_outclk0_clk>),                               //                            pll_0_outclk0.clk
36
                .reset_reset_n                                   (<connected-to-reset_reset_n>),                                   //                                    reset.reset_n
37
                .timecode_ready_rx_external_connection_export    (<connected-to-timecode_ready_rx_external_connection_export>),    //    timecode_ready_rx_external_connection.export
38
                .timecode_rx_external_connection_export          (<connected-to-timecode_rx_external_connection_export>),          //          timecode_rx_external_connection.export
39
                .timecode_tx_data_external_connection_export     (<connected-to-timecode_tx_data_external_connection_export>),     //     timecode_tx_data_external_connection.export
40
                .timecode_tx_enable_external_connection_export   (<connected-to-timecode_tx_enable_external_connection_export>),   //   timecode_tx_enable_external_connection.export
41
                .timecode_tx_ready_external_connection_export    (<connected-to-timecode_tx_ready_external_connection_export>),    //    timecode_tx_ready_external_connection.export
42
                .write_data_fifo_tx_external_connection_export   (<connected-to-write_data_fifo_tx_external_connection_export>),   //   write_data_fifo_tx_external_connection.export
43
                .write_en_tx_external_connection_export          (<connected-to-write_en_tx_external_connection_export>)           //          write_en_tx_external_connection.export
44
        );
45
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.