1 |
32 |
redbear |
component ulight_fifo is
|
2 |
|
|
port (
|
3 |
|
|
auto_start_external_connection_export : out std_logic; -- export
|
4 |
|
|
clk_clk : in std_logic := 'X'; -- clk
|
5 |
|
|
clock_sel_external_connection_export : out std_logic_vector(2 downto 0); -- export
|
6 |
|
|
counter_rx_fifo_external_connection_export : in std_logic_vector(5 downto 0) := (others => 'X'); -- export
|
7 |
|
|
counter_tx_fifo_external_connection_export : in std_logic_vector(5 downto 0) := (others => 'X'); -- export
|
8 |
|
|
data_flag_rx_external_connection_export : in std_logic_vector(8 downto 0) := (others => 'X'); -- export
|
9 |
|
|
data_info_external_connection_export : in std_logic_vector(13 downto 0) := (others => 'X'); -- export
|
10 |
|
|
data_read_en_rx_external_connection_export : out std_logic; -- export
|
11 |
|
|
fifo_empty_rx_status_external_connection_export : in std_logic := 'X'; -- export
|
12 |
|
|
fifo_empty_tx_status_external_connection_export : in std_logic := 'X'; -- export
|
13 |
|
|
fifo_full_rx_status_external_connection_export : in std_logic := 'X'; -- export
|
14 |
|
|
fifo_full_tx_status_external_connection_export : in std_logic := 'X'; -- export
|
15 |
|
|
fsm_info_external_connection_export : in std_logic_vector(5 downto 0) := (others => 'X'); -- export
|
16 |
|
|
led_pio_test_external_connection_export : out std_logic_vector(4 downto 0); -- export
|
17 |
|
|
link_disable_external_connection_export : out std_logic; -- export
|
18 |
|
|
link_start_external_connection_export : out std_logic; -- export
|
19 |
|
|
memory_mem_a : out std_logic_vector(12 downto 0); -- mem_a
|
20 |
|
|
memory_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba
|
21 |
|
|
memory_mem_ck : out std_logic; -- mem_ck
|
22 |
|
|
memory_mem_ck_n : out std_logic; -- mem_ck_n
|
23 |
|
|
memory_mem_cke : out std_logic; -- mem_cke
|
24 |
|
|
memory_mem_cs_n : out std_logic; -- mem_cs_n
|
25 |
|
|
memory_mem_ras_n : out std_logic; -- mem_ras_n
|
26 |
|
|
memory_mem_cas_n : out std_logic; -- mem_cas_n
|
27 |
|
|
memory_mem_we_n : out std_logic; -- mem_we_n
|
28 |
|
|
memory_mem_reset_n : out std_logic; -- mem_reset_n
|
29 |
|
|
memory_mem_dq : inout std_logic_vector(7 downto 0) := (others => 'X'); -- mem_dq
|
30 |
|
|
memory_mem_dqs : inout std_logic := 'X'; -- mem_dqs
|
31 |
|
|
memory_mem_dqs_n : inout std_logic := 'X'; -- mem_dqs_n
|
32 |
|
|
memory_mem_odt : out std_logic; -- mem_odt
|
33 |
|
|
memory_mem_dm : out std_logic; -- mem_dm
|
34 |
|
|
memory_oct_rzqin : in std_logic := 'X'; -- oct_rzqin
|
35 |
|
|
pll_0_locked_export : out std_logic; -- export
|
36 |
|
|
pll_0_outclk0_clk : out std_logic; -- clk
|
37 |
|
|
reset_reset_n : in std_logic := 'X'; -- reset_n
|
38 |
|
|
timecode_ready_rx_external_connection_export : in std_logic := 'X'; -- export
|
39 |
|
|
timecode_rx_external_connection_export : in std_logic_vector(7 downto 0) := (others => 'X'); -- export
|
40 |
|
|
timecode_tx_data_external_connection_export : out std_logic_vector(7 downto 0); -- export
|
41 |
|
|
timecode_tx_enable_external_connection_export : out std_logic; -- export
|
42 |
|
|
timecode_tx_ready_external_connection_export : in std_logic := 'X'; -- export
|
43 |
|
|
write_data_fifo_tx_external_connection_export : out std_logic_vector(8 downto 0); -- export
|
44 |
|
|
write_en_tx_external_connection_export : out std_logic -- export
|
45 |
|
|
);
|
46 |
|
|
end component ulight_fifo;
|
47 |
|
|
|
48 |
|
|
u0 : component ulight_fifo
|
49 |
|
|
port map (
|
50 |
|
|
auto_start_external_connection_export => CONNECTED_TO_auto_start_external_connection_export, -- auto_start_external_connection.export
|
51 |
|
|
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
|
52 |
|
|
clock_sel_external_connection_export => CONNECTED_TO_clock_sel_external_connection_export, -- clock_sel_external_connection.export
|
53 |
|
|
counter_rx_fifo_external_connection_export => CONNECTED_TO_counter_rx_fifo_external_connection_export, -- counter_rx_fifo_external_connection.export
|
54 |
|
|
counter_tx_fifo_external_connection_export => CONNECTED_TO_counter_tx_fifo_external_connection_export, -- counter_tx_fifo_external_connection.export
|
55 |
|
|
data_flag_rx_external_connection_export => CONNECTED_TO_data_flag_rx_external_connection_export, -- data_flag_rx_external_connection.export
|
56 |
|
|
data_info_external_connection_export => CONNECTED_TO_data_info_external_connection_export, -- data_info_external_connection.export
|
57 |
|
|
data_read_en_rx_external_connection_export => CONNECTED_TO_data_read_en_rx_external_connection_export, -- data_read_en_rx_external_connection.export
|
58 |
|
|
fifo_empty_rx_status_external_connection_export => CONNECTED_TO_fifo_empty_rx_status_external_connection_export, -- fifo_empty_rx_status_external_connection.export
|
59 |
|
|
fifo_empty_tx_status_external_connection_export => CONNECTED_TO_fifo_empty_tx_status_external_connection_export, -- fifo_empty_tx_status_external_connection.export
|
60 |
|
|
fifo_full_rx_status_external_connection_export => CONNECTED_TO_fifo_full_rx_status_external_connection_export, -- fifo_full_rx_status_external_connection.export
|
61 |
|
|
fifo_full_tx_status_external_connection_export => CONNECTED_TO_fifo_full_tx_status_external_connection_export, -- fifo_full_tx_status_external_connection.export
|
62 |
|
|
fsm_info_external_connection_export => CONNECTED_TO_fsm_info_external_connection_export, -- fsm_info_external_connection.export
|
63 |
|
|
led_pio_test_external_connection_export => CONNECTED_TO_led_pio_test_external_connection_export, -- led_pio_test_external_connection.export
|
64 |
|
|
link_disable_external_connection_export => CONNECTED_TO_link_disable_external_connection_export, -- link_disable_external_connection.export
|
65 |
|
|
link_start_external_connection_export => CONNECTED_TO_link_start_external_connection_export, -- link_start_external_connection.export
|
66 |
|
|
memory_mem_a => CONNECTED_TO_memory_mem_a, -- memory.mem_a
|
67 |
|
|
memory_mem_ba => CONNECTED_TO_memory_mem_ba, -- .mem_ba
|
68 |
|
|
memory_mem_ck => CONNECTED_TO_memory_mem_ck, -- .mem_ck
|
69 |
|
|
memory_mem_ck_n => CONNECTED_TO_memory_mem_ck_n, -- .mem_ck_n
|
70 |
|
|
memory_mem_cke => CONNECTED_TO_memory_mem_cke, -- .mem_cke
|
71 |
|
|
memory_mem_cs_n => CONNECTED_TO_memory_mem_cs_n, -- .mem_cs_n
|
72 |
|
|
memory_mem_ras_n => CONNECTED_TO_memory_mem_ras_n, -- .mem_ras_n
|
73 |
|
|
memory_mem_cas_n => CONNECTED_TO_memory_mem_cas_n, -- .mem_cas_n
|
74 |
|
|
memory_mem_we_n => CONNECTED_TO_memory_mem_we_n, -- .mem_we_n
|
75 |
|
|
memory_mem_reset_n => CONNECTED_TO_memory_mem_reset_n, -- .mem_reset_n
|
76 |
|
|
memory_mem_dq => CONNECTED_TO_memory_mem_dq, -- .mem_dq
|
77 |
|
|
memory_mem_dqs => CONNECTED_TO_memory_mem_dqs, -- .mem_dqs
|
78 |
|
|
memory_mem_dqs_n => CONNECTED_TO_memory_mem_dqs_n, -- .mem_dqs_n
|
79 |
|
|
memory_mem_odt => CONNECTED_TO_memory_mem_odt, -- .mem_odt
|
80 |
|
|
memory_mem_dm => CONNECTED_TO_memory_mem_dm, -- .mem_dm
|
81 |
|
|
memory_oct_rzqin => CONNECTED_TO_memory_oct_rzqin, -- .oct_rzqin
|
82 |
|
|
pll_0_locked_export => CONNECTED_TO_pll_0_locked_export, -- pll_0_locked.export
|
83 |
|
|
pll_0_outclk0_clk => CONNECTED_TO_pll_0_outclk0_clk, -- pll_0_outclk0.clk
|
84 |
|
|
reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n
|
85 |
|
|
timecode_ready_rx_external_connection_export => CONNECTED_TO_timecode_ready_rx_external_connection_export, -- timecode_ready_rx_external_connection.export
|
86 |
|
|
timecode_rx_external_connection_export => CONNECTED_TO_timecode_rx_external_connection_export, -- timecode_rx_external_connection.export
|
87 |
|
|
timecode_tx_data_external_connection_export => CONNECTED_TO_timecode_tx_data_external_connection_export, -- timecode_tx_data_external_connection.export
|
88 |
|
|
timecode_tx_enable_external_connection_export => CONNECTED_TO_timecode_tx_enable_external_connection_export, -- timecode_tx_enable_external_connection.export
|
89 |
|
|
timecode_tx_ready_external_connection_export => CONNECTED_TO_timecode_tx_ready_external_connection_export, -- timecode_tx_ready_external_connection.export
|
90 |
|
|
write_data_fifo_tx_external_connection_export => CONNECTED_TO_write_data_fifo_tx_external_connection_export, -- write_data_fifo_tx_external_connection.export
|
91 |
|
|
write_en_tx_external_connection_export => CONNECTED_TO_write_en_tx_external_connection_export -- write_en_tx_external_connection.export
|
92 |
|
|
);
|
93 |
|
|
|