OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [trunk/] [rtl/] [vhdl/] [rx_spdif.vhd] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 gedra
----------------------------------------------------------------------
2
----                                                              ----
3
---- WISHBONE SPDIF IP Core                                       ----
4
----                                                              ----
5
---- This file is part of the SPDIF project                       ----
6
---- http://www.opencores.org/cores/spdif_interface/              ----
7
----                                                              ----
8
---- Description                                                  ----
9
---- SPDIF receiver. Top level entity for the receiver core.      ----
10
----                                                              ----
11
----                                                              ----
12
---- To Do:                                                       ----
13
---- -                                                            ----
14
----                                                              ----
15
---- Author(s):                                                   ----
16
---- - Geir Drange, gedra@opencores.org                           ----
17
----                                                              ----
18
----------------------------------------------------------------------
19
----                                                              ----
20
---- Copyright (C) 2004 Authors and OPENCORES.ORG                 ----
21
----                                                              ----
22
---- This source file may be used and distributed without         ----
23
---- restriction provided that this copyright statement is not    ----
24
---- removed from the file and that any derivative work contains  ----
25
---- the original copyright notice and the associated disclaimer. ----
26
----                                                              ----
27
---- This source file is free software; you can redistribute it   ----
28
---- and/or modify it under the terms of the GNU Lesser General   ----
29
---- Public License as published by the Free Software Foundation; ----
30
---- either version 2.1 of the License, or (at your option) any   ----
31
---- later version.                                               ----
32
----                                                              ----
33
---- This source is distributed in the hope that it will be       ----
34
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
35
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
36
---- PURPOSE. See the GNU Lesser General Public License for more  ----
37
---- details.                                                     ----
38
----                                                              ----
39
---- You should have received a copy of the GNU Lesser General    ----
40
---- Public License along with this source; if not, download it   ----
41
---- from http://www.opencores.org/lgpl.shtml                     ----
42
----                                                              ----
43
----------------------------------------------------------------------
44
--
45
-- CVS Revision History
46
--
47
-- $Log: not supported by cvs2svn $
48 38 gedra
-- Revision 1.1  2004/06/26 14:13:56  gedra
49
-- Top level entity for receiver.
50 36 gedra
--
51 38 gedra
--
52 36 gedra
 
53
library IEEE;
54
use IEEE.std_logic_1164.all;
55
use work.rx_package.all;
56
 
57
entity rx_spdif is
58
  generic (DATA_WIDTH: integer range 16 to 32 := 16;
59
           ADDR_WIDTH: integer range 8 to 64 := 8;
60
           CH_ST_CAPTURE: integer range 0 to 8 := 0;
61
           WISHBONE_FREQ: natural:= 33);
62
  port (
63
    -- Wishbone interface
64
    wb_clk_i: in std_logic;
65
    wb_rst_i: in std_logic;
66
    wb_sel_i: in std_logic;
67
    wb_stb_i: in std_logic;
68
    wb_we_i: in std_logic;
69
    wb_cyc_i: in std_logic;
70
    wb_bte_i: in std_logic_vector(1 downto 0);
71
    wb_cti_i: in std_logic_vector(2 downto 0);
72
    wb_adr_i: in std_logic_vector(ADDR_WIDTH - 1 downto 0);
73
    wb_dat_i: in std_logic_vector(DATA_WIDTH -1 downto 0);
74
    wb_ack_o: out std_logic;
75
    wb_dat_o: out std_logic_vector(DATA_WIDTH - 1 downto 0);
76
    -- Interrupt line
77
    rx_int_o: out std_logic;
78
    -- SPDIF input signal
79
    spdif_rx_i: in std_logic);
80
end rx_spdif;
81
 
82
architecture rtl of rx_spdif is
83
 
84
  signal data_out, ver_dout : std_logic_vector(DATA_WIDTH - 1 downto 0);
85
  signal ver_rd : std_logic;
86
  signal conf_rxen, conf_sample, conf_rinten, conf_chas, conf_valid : std_logic;
87
  signal conf_blken, conf_valen, conf_useren, conf_staten : std_logic;
88
  signal conf_paren, config_rd, config_wr : std_logic;
89
  signal conf_mode : std_logic_vector(3 downto 0);
90
  signal conf_bits, conf_dout : std_logic_vector(DATA_WIDTH - 1 downto 0);
91
  signal status_rd : std_logic;
92
  signal stat_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
93
  signal imask_bits, imask_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
94
  signal imask_rd, imask_wr : std_logic;
95
  signal istat_dout, istat_events: std_logic_vector(DATA_WIDTH - 1 downto 0);
96
  signal istat_rd, istat_wr : std_logic;
97
  signal istat_lock, istat_lsbf, istat_hsbf, istat_paritya, istat_parityb: std_logic;
98
  signal istat_cap : std_logic_vector(7 downto 0);
99
  signal ch_st_cap_rd, ch_st_cap_wr, ch_st_data_rd: std_logic_vector(7 downto 0);
100
  signal cap_dout : bus_array;
101
  signal ch_data, ud_a_en, ud_b_en, cs_a_en, cs_b_en: std_logic;
102
  signal mem_rd, sample_wr : std_logic;
103
  signal sample_din, sample_dout : std_logic_vector(DATA_WIDTH - 1 downto 0);
104
  signal sbuf_wr_adr : std_logic_vector(ADDR_WIDTH - 2 downto 0);
105
  signal lock, rx_frame_start: std_logic;
106
  signal rx_data, rx_data_en, rx_block_start: std_logic;
107
  signal rx_channel_a, rx_error: std_logic;
108
 
109
begin
110
 
111
-- Data bus or'ing 
112
  DB16: if DATA_WIDTH = 16 generate
113
    data_out <= ver_dout or conf_dout or stat_dout or imask_dout or istat_dout
114
                when wb_adr_i(ADDR_WIDTH - 1) = '0' else sample_dout;
115
  end generate DB16;
116
  DB32: if DATA_WIDTH = 32 generate
117
    data_out <= ver_dout or conf_dout or stat_dout or imask_dout or istat_dout or
118
                cap_dout(1) or cap_dout(2) or cap_dout(3) or cap_dout(4) or
119
                cap_dout(5) or cap_dout(6) or cap_dout(7) when
120
                wb_adr_i(ADDR_WIDTH - 1) = '0' else sample_dout;
121
  end generate DB32;
122
 
123
-- Wishbone bus cycle decoder
124
  WB: rx_wb_decoder
125
    generic map (
126
      DATA_WIDTH => DATA_WIDTH,
127
      ADDR_WIDTH => ADDR_WIDTH)
128
    port map (
129
      wb_clk_i => wb_clk_i,
130
      wb_rst_i => wb_rst_i,
131
      wb_sel_i => wb_sel_i,
132
      wb_stb_i => wb_stb_i,
133
      wb_we_i => wb_we_i,
134
      wb_cyc_i => wb_cyc_i,
135
      wb_bte_i => wb_bte_i,
136
      wb_cti_i => wb_cti_i,
137
      wb_adr_i => wb_adr_i,
138
      data_out => data_out,
139
      wb_ack_o => wb_ack_o,
140
      wb_dat_o => wb_dat_o,
141
      version_rd => ver_rd,
142
      config_rd => config_rd,
143
      config_wr => config_wr,
144
      status_rd => status_rd,
145
      intmask_rd => imask_rd,
146
      intmask_wr => imask_wr,
147
      intstat_rd => istat_rd,
148
      intstat_wr => istat_wr,
149
      mem_rd => mem_rd,
150
      ch_st_cap_rd => ch_st_cap_rd,
151
      ch_st_cap_wr => ch_st_cap_wr,
152
      ch_st_data_rd => ch_st_data_rd);
153
 
154
-- Version register
155
  VER : rx_ver_reg
156
    generic map (
157
      DATA_WIDTH => DATA_WIDTH,
158
      ADDR_WIDTH => ADDR_WIDTH,
159
      CH_ST_CAPTURE => CH_ST_CAPTURE)
160
    port map (
161
      ver_rd => ver_rd,
162
      ver_dout => ver_dout);
163
 
164
-- Configuration register
165
  CG32: if DATA_WIDTH = 32 generate
166
    CONF: gen_control_reg
167
      generic map (
168
        DATA_WIDTH => 32,
169
        ACTIVE_BIT_MASK => "11111100000000001111111100000000")
170
      port map (
171
        clk => wb_clk_i,
172
        rst => wb_rst_i,
173
        ctrl_wr => config_wr,
174
        ctrl_rd => config_rd,
175
        ctrl_din => wb_dat_i,
176
        ctrl_dout => conf_dout,
177
        ctrl_bits => conf_bits);
178
    conf_mode(3 downto 0) <= conf_bits(23 downto 20);
179
    conf_paren <= conf_bits(19);
180
    conf_staten <= conf_bits(18);
181
    conf_useren <= conf_bits(17);
182
    conf_valen <= conf_bits(16);
183
  end generate CG32;
184
  CG16: if DATA_WIDTH = 16 generate
185
    CONF: gen_control_reg
186
      generic map (
187
        DATA_WIDTH => 16,
188
        ACTIVE_BIT_MASK => "1111110000000000")
189
      port map (
190
        clk => wb_clk_i,
191
        rst => wb_rst_i,
192
        ctrl_wr => config_wr,
193
        ctrl_rd => config_rd,
194
        ctrl_din => wb_dat_i,
195
        ctrl_dout => conf_dout,
196
        ctrl_bits => conf_bits);
197
  end generate CG16;
198
  conf_blken <= conf_bits(5);
199
  conf_valid <= conf_bits(4);
200
  conf_chas <= conf_bits(3);
201
  conf_rinten <= conf_bits(2);
202
  conf_sample <= conf_bits(1);
203
  conf_rxen <= conf_bits(0);
204
 
205
-- status register
206
  STAT : rx_status_reg
207
    generic map (
208
      DATA_WIDTH => DATA_WIDTH)
209
    port map (
210
      wb_clk_i => wb_clk_i,
211
      status_rd => status_rd,
212
      lock => lock,
213
      chas => conf_chas,
214 38 gedra
      rx_block_start => rx_block_start,
215 36 gedra
      ch_data => rx_data,
216
      cs_a_en => cs_a_en,
217
      cs_b_en => cs_b_en,
218
      status_dout   => stat_dout);
219
 
220
-- interrupt mask register
221
  IM32: if DATA_WIDTH = 32 generate
222
    IMASK: gen_control_reg
223
      generic map (
224
        DATA_WIDTH => 32,
225
        ACTIVE_BIT_MASK => "11111000000000001111111100000000")
226
      port map (
227
        clk => wb_clk_i,
228
        rst => wb_rst_i,
229
        ctrl_wr => imask_wr,
230
        ctrl_rd => imask_rd,
231
        ctrl_din => wb_dat_i,
232
        ctrl_dout => imask_dout,
233
        ctrl_bits => imask_bits);
234
  end generate IM32;
235
  IM16: if DATA_WIDTH = 16 generate
236
    IMASK: gen_control_reg
237
      generic map (
238
        DATA_WIDTH => 16,
239
        ACTIVE_BIT_MASK => "1111100000000000")
240
      port map (
241
        clk => wb_clk_i,
242
        rst => wb_rst_i,
243
        ctrl_wr => imask_wr,
244
        ctrl_rd => imask_rd,
245
        ctrl_din => wb_dat_i,
246
        ctrl_dout => imask_dout,
247
        ctrl_bits => imask_bits);
248
  end generate IM16;
249
 
250
-- interrupt status register
251
  ISTAT: gen_event_reg
252
    generic map (
253
      DATA_WIDTH => DATA_WIDTH)
254
    port map (
255
      clk => wb_clk_i,
256
      rst => wb_rst_i,
257
      evt_wr => istat_wr,
258
      evt_rd => istat_rd,
259
      evt_din => wb_dat_i,
260
      evt_dout => istat_dout,
261
      event => istat_events,
262
      evt_mask => imask_bits,
263
      evt_en => conf_rinten,
264
      evt_irq => rx_int_o);
265
  istat_events(0) <= lock;
266
  istat_events(1) <= istat_lsbf;
267
  istat_events(2) <= istat_hsbf;
268
  istat_events(3) <= istat_paritya;
269
  istat_events(4) <= istat_parityb;
270
  istat_events(15 downto 5) <= (others => '0');
271
  IS32: if DATA_WIDTH = 32 generate
272
    istat_events(23 downto 16) <= istat_cap(7 downto 0);
273
    istat_events(32 downto 24) <= (others => '0');
274
  end generate IS32;
275
 
276
-- capture registers
277
  GCAP: if DATA_WIDTH = 32 and CH_ST_CAPTURE > 0 generate
278
    CAPR: for k in 0 to CH_ST_CAPTURE - 1 generate
279
      CHST: rx_cap_reg
280
        port map (
281
        clk => wb_clk_i,
282
        rst => wb_rst_i,
283
        cap_ctrl_wr => ch_st_cap_wr(k),
284
        cap_ctrl_rd => ch_st_cap_rd(k),
285
        cap_data_rd => ch_st_data_rd(k),
286
        cap_din => wb_dat_i,
287
        cap_dout => cap_dout(k),
288
        cap_evt => istat_cap(k),
289 38 gedra
        rx_block_start => rx_block_start,
290 36 gedra
        ch_data => rx_data,
291
        ud_a_en => ud_a_en,
292
        ud_b_en => ud_b_en,
293
        cs_a_en => cs_a_en,
294
        cs_b_en => cs_b_en);
295
    end generate CAPR;
296
    -- unused capture registers set to zero
297
    UCAPR: if CH_ST_CAPTURE < 8 generate
298
      UC: for k in CH_ST_CAPTURE - 1 to 7 generate
299
        cap_dout(k) <= (others => '0');
300
      end generate UC;
301
    end generate UCAPR;
302
  end generate GCAP;
303
 
304
-- Sample buffer memory
305
  MEM: dpram
306
    generic map (
307
      DATA_WIDTH => DATA_WIDTH,
308
      RAM_WIDTH => ADDR_WIDTH - 1)
309
    port map (
310
      clk => wb_clk_i,
311
      rst => wb_rst_i,
312
      din => sample_din,
313
      wr_en => sample_wr,
314
      rd_en => mem_rd,
315
      wr_addr => sbuf_wr_adr,
316
      rd_addr => wb_adr_i(ADDR_WIDTH - 2 downto 0),
317
      dout => sample_dout);
318
 
319
-- phase decoder
320
  PDET: rx_phase_det
321
    generic map (
322
      WISHBONE_FREQ => WISHBONE_FREQ)   -- WishBone frequency in MHz
323
    port map (
324
      wb_clk_i => wb_clk_i,
325
      rxen => conf_rxen,
326
      spdif => spdif_rx_i,
327
      lock => lock,
328
      rx_data => rx_data,
329
      rx_data_en => rx_data_en,
330
      rx_block_start => rx_block_start,
331
      rx_frame_start => rx_frame_start,
332
      rx_channel_a => rx_channel_a,
333
      rx_error => rx_error,
334
      ud_a_en => ud_a_en,
335
      ud_b_en => ud_b_en,
336
      cs_a_en => cs_a_en,
337
      cs_b_en => cs_b_en);
338
 
339
-- frame decoder
340
  FDEC: rx_decode
341
    generic map (
342
      DATA_WIDTH => DATA_WIDTH,
343
      ADDR_WIDTH => ADDR_WIDTH)
344
    port map (
345
      wb_clk_i => wb_clk_i,
346
      conf_rxen => conf_rxen,
347
      conf_sample => conf_sample,
348
      conf_valid => conf_valid,
349
      conf_mode => conf_mode,
350
      conf_blken => conf_blken,
351
      conf_valen => conf_valen,
352
      conf_useren => conf_useren,
353
      conf_staten => conf_staten,
354
      conf_paren => conf_paren,
355
      lock => lock,
356
      rx_data => rx_data,
357
      rx_data_en => rx_data_en,
358
      rx_block_start => rx_block_start,
359
      rx_frame_start => rx_frame_start,
360
      rx_channel_a => rx_channel_a,
361
      wr_en => sample_wr,
362
      wr_addr => sbuf_wr_adr,
363
      wr_data => sample_din,
364
      stat_paritya => istat_paritya,
365
      stat_parityb => istat_parityb,
366
      stat_lsbf => istat_lsbf,
367
      stat_hsbf => istat_hsbf);
368
 
369
end rtl;
370
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.