OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [trunk/] [rtl/] [vhdl/] [chip-full-a.vhd] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 arniml
-------------------------------------------------------------------------------
2
--
3
-- SD/MMC Bootloader
4
-- Chip toplevel design with full feature set
5
--
6 17 arniml
-- $Id: chip-full-a.vhd,v 1.3 2005-02-18 06:42:11 arniml Exp $
7 3 arniml
--
8
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
9
--
10
-- All rights reserved, see COPYING.
11
--
12
-- Redistribution and use in source and synthezised forms, with or without
13
-- modification, are permitted provided that the following conditions are met:
14
--
15
-- Redistributions of source code must retain the above copyright notice,
16
-- this list of conditions and the following disclaimer.
17
--
18
-- Redistributions in synthesized form must reproduce the above copyright
19
-- notice, this list of conditions and the following disclaimer in the
20
-- documentation and/or other materials provided with the distribution.
21
--
22
-- Neither the name of the author nor the names of other contributors may
23
-- be used to endorse or promote products derived from this software without
24
-- specific prior written permission.
25
--
26
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
27
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
28
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
30
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
31
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
32
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
33
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
34
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
35
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
36
-- POSSIBILITY OF SUCH DAMAGE.
37
--
38
-- Please report bugs to the author, but before you do so, please
39
-- make sure that this is not a derivative work and that
40
-- you have the latest version of this file.
41
--
42
-- The latest version of this file can be found at:
43
--      http://www.opencores.org/projects.cgi/web/spi_boot/overview
44
--
45
-------------------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
 
50
 
51
architecture full of chip is
52
 
53
  component spi_boot
54
    generic (
55
      width_bit_cnt_g      : integer := 6;
56 17 arniml
      width_img_cnt_g      : integer := 2;
57
      num_bits_per_img_g   : integer := 18;
58 3 arniml
      sd_init_g            : integer := 0;
59
      mmc_compat_clk_div_g : integer := 0;
60
      width_mmc_clk_div_g  : integer := 0;
61
      reset_level_g        : integer := 0
62
    );
63
    port (
64
      clk_i          : in  std_logic;
65
      reset_i        : in  std_logic;
66
      spi_clk_o      : out std_logic;
67
      spi_cs_n_o     : out std_logic;
68
      spi_data_in_i  : in  std_logic;
69
      spi_data_out_o : out std_logic;
70 12 arniml
      spi_en_outs_o  : out std_logic;
71 3 arniml
      start_i        : in  std_logic;
72
      mode_i         : in  std_logic;
73
      config_n_o     : out std_logic;
74
      cfg_init_n_i   : in  std_logic;
75
      cfg_done_i     : in  std_logic;
76
      dat_done_i     : in  std_logic;
77
      cfg_clk_o      : out std_logic;
78
      cfg_dat_o      : out std_logic
79
    );
80
  end component;
81
 
82 12 arniml
  signal spi_clk_s      : std_logic;
83
  signal spi_cs_n_s     : std_logic;
84
  signal spi_data_out_s : std_logic;
85
  signal spi_en_outs_s  : std_logic;
86
 
87 3 arniml
begin
88
 
89
  spi_boot_b : spi_boot
90
    generic map (
91
      width_bit_cnt_g      => 12,       -- 512 bytes per block
92 17 arniml
      width_img_cnt_g      => 2,        -- 4 images
93
      num_bits_per_img_g   => 18,       -- 256 kByte per image
94 3 arniml
      sd_init_g            => 1,        -- use SD specific initialization
95
      mmc_compat_clk_div_g => 13,       -- MMC compat 400 kHz > 10 MHz / (13*2)
96
      width_mmc_clk_div_g  => 4         -- need 5 bits for MMC compat divider
97
    )
98
    port map (
99
      clk_i                => clk_i,
100
      reset_i              => reset_i,
101 12 arniml
      spi_clk_o            => spi_clk_s,
102
      spi_cs_n_o           => spi_cs_n_s,
103 3 arniml
      spi_data_in_i        => spi_data_in_i,
104 12 arniml
      spi_data_out_o       => spi_data_out_s,
105
      spi_en_outs_o        => spi_en_outs_s,
106 3 arniml
      start_i              => start_i,
107
      mode_i               => mode_i,
108
      config_n_o           => config_n_o,
109
      cfg_init_n_i         => cfg_init_n_i,
110
      cfg_done_i           => cfg_done_i,
111
      dat_done_i           => dat_done_i,
112
      cfg_clk_o            => cfg_clk_o,
113
      cfg_dat_o            => cfg_dat_o
114
    );
115
 
116 12 arniml
  -----------------------------------------------------------------------------
117
  -- Three state drivers for SPI outputs.
118
  -----------------------------------------------------------------------------
119
  spi_clk_o      <=   spi_clk_s
120
                    when spi_en_outs_s = '1' else
121
                      'Z';
122
  spi_cs_n_o     <=   spi_cs_n_s
123
                    when spi_en_outs_s = '1' else
124
                      'Z';
125
  spi_data_out_o <=   spi_data_out_s
126
                    when spi_en_outs_s = '1' else
127
                      'Z';
128
 
129 3 arniml
end full;
130
 
131
 
132
-------------------------------------------------------------------------------
133
-- File History:
134
--
135
-- $Log: not supported by cvs2svn $
136 17 arniml
-- Revision 1.2  2005/02/16 18:54:37  arniml
137
-- added tri-state drivers for spi outputs
138
--
139 12 arniml
-- Revision 1.1  2005/02/08 20:41:31  arniml
140
-- initial check-in
141
--
142 3 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.