OpenCores
URL https://opencores.org/ocsvn/spi_slave/spi_slave/trunk

Subversion Repositories spi_slave

[/] [spi_slave/] [trunk/] [sim/] [rtl_sim/] [modelsim_sim/] [run/] [fifo/] [fifo_tb_s.do] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 dkoethe
vsim -t ps fifo_tb
2
view wave
3
do fifo_tb_w.do
4
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.