OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [ver/] [sq_opn_basic.v] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 gryzor
/*
2
        SQmusic
3
 
4
  (c) Jose Tejada Gomez, 9th May 2013
5
  You can use this file following the GNU GENERAL PUBLIC LICENSE version 3
6
  Read the details of the license in:
7
  http://www.gnu.org/licenses/gpl.txt
8
 
9
  Send comments to: jose.tejada@ieee.org
10
 
11
*/
12
 
13
`timescale 1ns/1ps
14
 
15
module sq_opn_basic;
16
 
17
reg clk, reset_n;
18 19 gryzor
wire signed [12:0] linear;
19 18 gryzor
 
20 19 gryzor
parameter fnumber = 11'h1;
21
parameter block   =  3'h0;
22 18 gryzor
parameter multiple=  4'h1;
23
 
24
initial begin
25
  $dumpvars(0,sq_opn_basic);
26
  $dumpon;
27
  reset_n = 0;
28
  #300 reset_n=1;
29 19 gryzor
  $display("SOUND START");
30
  #(1e10)
31 18 gryzor
  $finish;
32
end
33
 
34
always begin
35
  clk = 0;
36 19 gryzor
  forever #(125/2*144) clk = ~clk & reset_n;
37 18 gryzor
end
38
 
39
sq_slot slot(
40
        .clk     (clk),
41
        .reset_n (reset_n),
42
        .fnumber (fnumber),
43
        .block   (block),
44 19 gryzor
  .multiple(multiple),
45
  .linear  (linear)
46 18 gryzor
);
47 19 gryzor
 
48
// always #(1e9/44100) $display("%d", linear);
49 18 gryzor
 
50
 
51
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.