OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [env_top.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ghutchis
`timescale 1ns/1ps
2
 
3
module env_top;
4
 
5
  reg clk, reset;
6
 
7
  initial
8
    begin
9
      clk = 0;
10
      forever clk = #4 ~clk;
11
    end
12
 
13
  initial
14
    begin
15
      $dumpfile ("env_top.vcd");
16
      $dumpvars;
17
      reset = 1;
18
      #200;
19
      reset = 0;
20
      #200;
21
 
22
      fork
23
        driver0.send_packet (1, 2, 20);
24
        driver1.send_packet (2, 3, 64);
25
        driver2.send_packet (3, 4, 64);
26
        driver3.send_packet (4, 1, 64);
27
      join
28
 
29
      #500;
30
      $finish;
31
    end
32
 
33
  /*AUTOWIRE*/
34
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
35
  wire                  gmii_rx_clk_0;          // From driver0 of gmii_driver.v
36
  wire                  gmii_rx_clk_1;          // From driver1 of gmii_driver.v
37
  wire                  gmii_rx_clk_2;          // From driver2 of gmii_driver.v
38
  wire                  gmii_rx_clk_3;          // From driver3 of gmii_driver.v
39
  wire                  gmii_rx_dv_0;           // From driver0 of gmii_driver.v
40
  wire                  gmii_rx_dv_1;           // From driver1 of gmii_driver.v
41
  wire                  gmii_rx_dv_2;           // From driver2 of gmii_driver.v
42
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
43
  wire [7:0]             gmii_rxd_0;             // From driver0 of gmii_driver.v
44
  wire [7:0]             gmii_rxd_1;             // From driver1 of gmii_driver.v
45
  wire [7:0]             gmii_rxd_2;             // From driver2 of gmii_driver.v
46
  wire [7:0]             gmii_rxd_3;             // From driver3 of gmii_driver.v
47
  wire                  gmii_tx_dv_0;           // From bridge of bridge_ex1.v
48
  wire                  gmii_tx_dv_1;           // From bridge of bridge_ex1.v
49
  wire                  gmii_tx_dv_2;           // From bridge of bridge_ex1.v
50
  wire                  gmii_tx_dv_3;           // From bridge of bridge_ex1.v
51
  wire [7:0]             gmii_txd_0;             // From bridge of bridge_ex1.v
52
  wire [7:0]             gmii_txd_1;             // From bridge of bridge_ex1.v
53
  wire [7:0]             gmii_txd_2;             // From bridge of bridge_ex1.v
54
  wire [7:0]             gmii_txd_3;             // From bridge of bridge_ex1.v
55
  // End of automatics
56
 
57
  /* gmii_driver AUTO_TEMPLATE
58
   (
59
     .\(.*\)  (gmii_\1_@[]),
60
   );
61
   */
62
  gmii_driver driver0
63
    (/*AUTOINST*/
64
     // Outputs
65
     .rxd                               (gmii_rxd_0[7:0]),        // Templated
66
     .rx_dv                             (gmii_rx_dv_0),          // Templated
67
     .rx_clk                            (gmii_rx_clk_0));        // Templated
68
 
69
  gmii_driver driver1
70
    (/*AUTOINST*/
71
     // Outputs
72
     .rxd                               (gmii_rxd_1[7:0]),        // Templated
73
     .rx_dv                             (gmii_rx_dv_1),          // Templated
74
     .rx_clk                            (gmii_rx_clk_1));        // Templated
75
 
76
  gmii_driver driver2
77
    (/*AUTOINST*/
78
     // Outputs
79
     .rxd                               (gmii_rxd_2[7:0]),        // Templated
80
     .rx_dv                             (gmii_rx_dv_2),          // Templated
81
     .rx_clk                            (gmii_rx_clk_2));        // Templated
82
 
83
  gmii_driver driver3
84
    (/*AUTOINST*/
85
     // Outputs
86
     .rxd                               (gmii_rxd_3[7:0]),        // Templated
87
     .rx_dv                             (gmii_rx_dv_3),          // Templated
88
     .rx_clk                            (gmii_rx_clk_3));        // Templated
89
 
90
  bridge_ex1 bridge
91
    (/*AUTOINST*/
92
     // Outputs
93
     .gmii_tx_dv_0                      (gmii_tx_dv_0),
94
     .gmii_tx_dv_1                      (gmii_tx_dv_1),
95
     .gmii_tx_dv_2                      (gmii_tx_dv_2),
96
     .gmii_tx_dv_3                      (gmii_tx_dv_3),
97
     .gmii_txd_0                        (gmii_txd_0[7:0]),
98
     .gmii_txd_1                        (gmii_txd_1[7:0]),
99
     .gmii_txd_2                        (gmii_txd_2[7:0]),
100
     .gmii_txd_3                        (gmii_txd_3[7:0]),
101
     // Inputs
102
     .clk                               (clk),
103
     .reset                             (reset),
104
     .gmii_rx_clk_0                     (gmii_rx_clk_0),
105
     .gmii_rx_clk_1                     (gmii_rx_clk_1),
106
     .gmii_rx_clk_2                     (gmii_rx_clk_2),
107
     .gmii_rx_clk_3                     (gmii_rx_clk_3),
108
     .gmii_rx_dv_0                      (gmii_rx_dv_0),
109
     .gmii_rx_dv_1                      (gmii_rx_dv_1),
110
     .gmii_rx_dv_2                      (gmii_rx_dv_2),
111
     .gmii_rx_dv_3                      (gmii_rx_dv_3),
112
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
113
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
114
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
115
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
116
 
117
endmodule // env_top
118
// Local Variables:
119
// verilog-library-directories:("." "../rtl")
120
// End:  

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.