OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [env_top.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ghutchis
`timescale 1ns/1ps
2
 
3
module env_top;
4
 
5
  reg clk, reset;
6
 
7
  initial
8
    begin
9
      clk = 0;
10
      forever clk = #4 ~clk;
11
    end
12
 
13
  initial
14
    begin
15
      $dumpfile ("env_top.vcd");
16
      $dumpvars;
17
      reset = 1;
18
      #200;
19
      reset = 0;
20
      #200;
21
 
22 9 ghutchis
      repeat (`FIB_ENTRIES)
23
        @(posedge clk);
24
 
25 8 ghutchis
      fork
26
        driver0.send_packet (1, 2, 20);
27
        driver1.send_packet (2, 3, 64);
28
        driver2.send_packet (3, 4, 64);
29
        driver3.send_packet (4, 1, 64);
30
      join
31
 
32
      #500;
33
      $finish;
34
    end
35
 
36
  /*AUTOWIRE*/
37
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
38
  wire                  gmii_rx_clk_0;          // From driver0 of gmii_driver.v
39
  wire                  gmii_rx_clk_1;          // From driver1 of gmii_driver.v
40
  wire                  gmii_rx_clk_2;          // From driver2 of gmii_driver.v
41
  wire                  gmii_rx_clk_3;          // From driver3 of gmii_driver.v
42
  wire                  gmii_rx_dv_0;           // From driver0 of gmii_driver.v
43
  wire                  gmii_rx_dv_1;           // From driver1 of gmii_driver.v
44
  wire                  gmii_rx_dv_2;           // From driver2 of gmii_driver.v
45
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
46
  wire [7:0]             gmii_rxd_0;             // From driver0 of gmii_driver.v
47
  wire [7:0]             gmii_rxd_1;             // From driver1 of gmii_driver.v
48
  wire [7:0]             gmii_rxd_2;             // From driver2 of gmii_driver.v
49
  wire [7:0]             gmii_rxd_3;             // From driver3 of gmii_driver.v
50
  wire                  gmii_tx_dv_0;           // From bridge of bridge_ex1.v
51
  wire                  gmii_tx_dv_1;           // From bridge of bridge_ex1.v
52
  wire                  gmii_tx_dv_2;           // From bridge of bridge_ex1.v
53
  wire                  gmii_tx_dv_3;           // From bridge of bridge_ex1.v
54
  wire [7:0]             gmii_txd_0;             // From bridge of bridge_ex1.v
55
  wire [7:0]             gmii_txd_1;             // From bridge of bridge_ex1.v
56
  wire [7:0]             gmii_txd_2;             // From bridge of bridge_ex1.v
57
  wire [7:0]             gmii_txd_3;             // From bridge of bridge_ex1.v
58
  // End of automatics
59
 
60
  /* gmii_driver AUTO_TEMPLATE
61
   (
62
     .\(.*\)  (gmii_\1_@[]),
63
   );
64
   */
65
  gmii_driver driver0
66
    (/*AUTOINST*/
67
     // Outputs
68
     .rxd                               (gmii_rxd_0[7:0]),        // Templated
69
     .rx_dv                             (gmii_rx_dv_0),          // Templated
70
     .rx_clk                            (gmii_rx_clk_0));        // Templated
71
 
72
  gmii_driver driver1
73
    (/*AUTOINST*/
74
     // Outputs
75
     .rxd                               (gmii_rxd_1[7:0]),        // Templated
76
     .rx_dv                             (gmii_rx_dv_1),          // Templated
77
     .rx_clk                            (gmii_rx_clk_1));        // Templated
78
 
79
  gmii_driver driver2
80
    (/*AUTOINST*/
81
     // Outputs
82
     .rxd                               (gmii_rxd_2[7:0]),        // Templated
83
     .rx_dv                             (gmii_rx_dv_2),          // Templated
84
     .rx_clk                            (gmii_rx_clk_2));        // Templated
85
 
86
  gmii_driver driver3
87
    (/*AUTOINST*/
88
     // Outputs
89
     .rxd                               (gmii_rxd_3[7:0]),        // Templated
90
     .rx_dv                             (gmii_rx_dv_3),          // Templated
91
     .rx_clk                            (gmii_rx_clk_3));        // Templated
92
 
93
  bridge_ex1 bridge
94
    (/*AUTOINST*/
95
     // Outputs
96
     .gmii_tx_dv_0                      (gmii_tx_dv_0),
97
     .gmii_tx_dv_1                      (gmii_tx_dv_1),
98
     .gmii_tx_dv_2                      (gmii_tx_dv_2),
99
     .gmii_tx_dv_3                      (gmii_tx_dv_3),
100
     .gmii_txd_0                        (gmii_txd_0[7:0]),
101
     .gmii_txd_1                        (gmii_txd_1[7:0]),
102
     .gmii_txd_2                        (gmii_txd_2[7:0]),
103
     .gmii_txd_3                        (gmii_txd_3[7:0]),
104
     // Inputs
105
     .clk                               (clk),
106
     .reset                             (reset),
107
     .gmii_rx_clk_0                     (gmii_rx_clk_0),
108
     .gmii_rx_clk_1                     (gmii_rx_clk_1),
109
     .gmii_rx_clk_2                     (gmii_rx_clk_2),
110
     .gmii_rx_clk_3                     (gmii_rx_clk_3),
111
     .gmii_rx_dv_0                      (gmii_rx_dv_0),
112
     .gmii_rx_dv_1                      (gmii_rx_dv_1),
113
     .gmii_rx_dv_2                      (gmii_rx_dv_2),
114
     .gmii_rx_dv_3                      (gmii_rx_dv_3),
115
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
116
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
117
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
118
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
119
 
120
endmodule // env_top
121
// Local Variables:
122
// verilog-library-directories:("." "../rtl")
123
// End:  

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.