OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl/] [port_ring_tap_fsm.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ghutchis
module port_ring_tap_fsm
2
  #(parameter rdp_sz = 64,
3 8 ghutchis
    parameter pdp_sz = 64,
4 5 ghutchis
    parameter portnum = 0)
5
  (
6
   input               clk,
7
   input               reset,
8
 
9
   output reg               lfli_drdy,
10
   output reg               lprx_drdy,
11 8 ghutchis
   output reg[pdp_sz-1:0]    lptx_data,
12 5 ghutchis
   output reg               lptx_srdy,
13
   output reg               lri_drdy,
14
   output reg[rdp_sz-1:0]    lro_data,
15
   output reg               lro_srdy,
16
 
17 8 ghutchis
   input [`NUM_PORTS-1:0]   lfli_data,
18 5 ghutchis
   input               lfli_srdy,
19 8 ghutchis
   input [pdp_sz-1:0]   lprx_data,
20 5 ghutchis
   input               lprx_srdy,
21
   input               lptx_drdy,
22
   input [rdp_sz-1:0]   lri_data,
23
   input               lri_srdy,
24
   input               lro_drdy
25
   );
26
 
27 8 ghutchis
  reg [4:0]            state, nxt_state;
28 5 ghutchis
 
29
  wire [`NUM_PORTS-1:0] port_mask;
30
  reg [`NUM_PORTS-1:0]  pe_vec, nxt_pe_vec;
31
 
32
  assign port_mask = 1 << portnum;
33
 
34 8 ghutchis
  localparam s_idle = 0,
35
             s_rfwd = 1,
36
             s_rcopy = 2,
37
             s_rsink = 3,
38
             s_tdata = 4;
39
  localparam ns_idle = 1,
40
             ns_rfwd = 2,
41
             ns_rcopy = 4,
42
             ns_rsink = 8,
43
             ns_tdata = 16;
44 5 ghutchis
 
45
  always @*
46
    begin
47
      lro_data = lri_data;
48 8 ghutchis
      lptx_data = lri_data;
49
      lfli_drdy = 0;
50
      lprx_drdy = 0;
51
      lptx_srdy = 0;
52
      lri_drdy  = 0;
53
      lro_srdy  = 0;
54 5 ghutchis
 
55
      case (1'b1)
56
        state[s_idle] :
57
          begin
58
            if (lfli_srdy)
59
              begin
60 8 ghutchis
                if (lfli_data != 0)
61
                  begin
62
                    lro_data = 0;
63
                    lro_data[`PRW_PVEC] = 1;
64
                    lro_data[`PRW_DATA] = lfli_data;
65
                    if (lro_drdy)
66
                      begin
67
                        lfli_drdy = 1;
68
                        lro_srdy = 1;
69
                        nxt_state = ns_tdata;
70
                      end
71
                  end
72
                else
73
                  lfli_drdy = 1;
74 5 ghutchis
              end
75
            else if (lri_srdy)
76
              begin
77
                if (lri_data[`PRW_DATA] & port_mask)
78
                  begin
79
                    // packet is for our port
80
                    nxt_pe_vec = lri_data[`PRW_DATA] & ~port_mask;
81
 
82
                    // if enable vector is not empty, send the
83
                    // vector to the next port
84
                    if ((nxt_pe_vec != 0) & lro_drdy)
85
                      begin
86
                        lro_data[`PRW_DATA] = nxt_pe_vec;
87
                        lro_data[`PRW_PVEC] = 1;
88
                        lro_srdy = 1;
89
                        lri_drdy = 1;
90
                        nxt_state = ns_rcopy;
91
                      end
92
                    else
93
                      begin
94
                        lri_drdy = 1;
95
                        nxt_state = ns_rsink;
96
                      end // else: !if((nxt_pe_vec != 0) & lro_drdy)
97
                  end // if (lri_data[`PRW_DATA] & port_mask)
98
                else
99
                  // packet is not for our port, forward it on the
100
                  // ring
101
                  begin
102
                    if (lro_drdy)
103
                      begin
104
                        lri_drdy = 1;
105
                        lro_srdy = 1;
106
                        nxt_state = ns_rfwd;
107
                      end
108
                  end // else: !if(lri_data[`PRW_DATA] & port_mask)
109
              end // if (lri_srdy)
110
          end // case: state[s_idle]
111
 
112 8 ghutchis
        // transmit data from port on to the ring
113
        state[s_tdata] :
114
          begin
115
            lro_data = lprx_data;
116
            lro_data[`PRW_PVEC] = 0;
117
            if (lro_drdy & lprx_srdy)
118
              begin
119
                lprx_drdy = 1;
120
                lro_srdy  = 1;
121
                if ((lprx_data[`PRW_PCC] == `PCC_EOP) |
122
                    (lprx_data[`PRW_PCC] == `PCC_BADEOP))
123
                  nxt_state = ns_idle;
124
              end
125
          end // case: state[s_tdata]
126
 
127
        // data on ring is for our port as well as further ports
128
        // copy ring data to our TX buffer as well as on the ring
129
        state[s_rcopy] :
130
          begin
131
            lro_data = lri_data;
132
            lptx_data = lri_data[`PFW_SZ-1:0];
133
            if (lri_srdy & lro_drdy & lptx_drdy)
134
              begin
135
                lri_drdy = 1;
136
                lro_srdy = 1;
137
                lptx_srdy = 1;
138
                if ((lri_data[`PRW_PCC] == `PCC_EOP) |
139
                    (lri_data[`PRW_PCC] == `PCC_BADEOP))
140
                  nxt_state = ns_idle;
141
              end
142
          end
143
 
144
        // data on ring is not for our port, copy from ring in to ring out
145
        state[s_rfwd] :
146
          begin
147
            lro_data = lri_data;
148
            if (lri_srdy & lro_drdy)
149
              begin
150
                lri_drdy = 1;
151
                lro_srdy = 1;
152
                if ((lri_data[`PRW_PCC] == `PCC_EOP) |
153
                    (lri_data[`PRW_PCC] == `PCC_BADEOP))
154
                  nxt_state = ns_idle;
155
              end
156
          end
157
 
158
        // data on ring is for our port and we are the last port
159
        // copy ring data to our TX buffer but do not copy to ring
160
        state[s_rcopy] :
161
          begin
162
            lptx_data = lri_data[`PFW_SZ-1:0];
163
            if (lri_srdy & lptx_drdy)
164
              begin
165
                lri_drdy = 1;
166
                lptx_srdy = 1;
167
                if ((lri_data[`PRW_PCC] == `PCC_EOP) |
168
                    (lri_data[`PRW_PCC] == `PCC_BADEOP))
169
                  nxt_state = ns_idle;
170
              end
171
          end
172
 
173 5 ghutchis
        default : nxt_state = ns_idle;
174
      endcase // case (1'b1)
175
    end // always @ *
176 8 ghutchis
 
177
  always @(posedge clk)
178
    begin
179
      if (reset)
180
        begin
181
          state <= #1 1;
182
          /*AUTORESET*/
183
        end
184
      else
185
        begin
186
          state <= #1 nxt_state;
187
        end
188
    end // always @ (posedge clk)
189
 
190 5 ghutchis
 
191
 
192
endmodule // port_ring_tap_fsm

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.