OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [README] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
SSBCC.9x8 is a free Small Stack-Based Computer Compiler with a 9-bit opcode,
2 4 sinclairrf
8-bit data core designed to facilitate FPGA HDL development.
3 2 sinclairrf
 
4 4 sinclairrf
The primary design criteria are:
5
- high speed (to avoid timing issues)
6
- low fabric utilization
7
- vendor independent
8
- development tools available for all operating systems
9
 
10
It has been used in Spartan-3A, Spartan-6, Virtex-6, and Artix-7 FPGAs and has
11
been built for Altera, Lattice, and other Xilinx devices.  It is faster and
12
usually smaller than vendor provided processors.
13
 
14 2 sinclairrf
The compiler takes an architecture file that describes the micro controller
15
memory spaces, inputs and outputs, and peripherals and which specifies the HDL
16
language and source assembly.  It generates a single HDL module implementing
17
the entire micro controller.  No user-written HDL is required to instantiate
18
I/Os, program memory, etc.
19
 
20 4 sinclairrf
The features are:
21
- high speed, low fabric utilization
22
- vendor-independent Verilog output with a VHDL package file
23
- simple Forth-like assembly language (41 instructions)
24
- single cycle instruction execution
25
- automatic generation of I/O ports
26
- configurable instruction, data stack, return stack, and memory utilization
27
- extensible set of peripherals (I2C busses, UARTs, AXI4-Lite busses, etc.)
28
- extensible set of macros
29
- memory initialization file to facilitate code development without rebuilds
30
- simulation diagnostics to facilitate identifying code errors
31
- conditionally included I/Os and peripherals, functions, and assembly code
32
 
33 2 sinclairrf
SSBCC has been used for the following projects:
34
- operate a media translator from a parallel camera interface to an OMAP GPMC
35
  interface, detect and report bus errors and hardware errors, and act as an
36
  SPI slave to the OMAP
37
- operate two UART interfaces and multiple PWM controlled 2-lead bi-color LEDs
38
- operate and monitor the Artix-7 fabric in a Zynq system using AXI4-Lite
39
  master and slave buses, I2C buses for timing-critical voltage measurements
40
 
41 4 sinclairrf
The only external tool required is Python 2.7.
42 2 sinclairrf
 
43 4 sinclairrf
 
44 2 sinclairrf
DESCRIPTION
45
================================================================================
46
 
47
The computer compiler uses an architectural description of the processor stating
48
the sizes of the instruction memory, data stack, and return stack; the input and
49
output ports; RAM and ROM types and sizes; and peripherals.
50
 
51
The instructions are all single-cycle.  The instructions include
52 4 sinclairrf
- 4 arithmetic instructions:  addition, subtraction, increment, and decrement
53
- 3 bit-wise logical instructions:  and, or, and exclusive or
54
- 7 shift and rotation instructions: <<0, <<1, 0>>, 1>>, <>msb, and >>lsb
55
- 4 logical instructions:  0=, 0<>, -1=, -1<>
56
- 6 Forth-like data stack instructions:  drop, dup, nip, over, push, swap
57
- 3 Forth-like return stack instructions:  >r, r>, r@
58
- 2 input and output
59
- 6 memory read and write with optional address post increment and post decrement
60
- 2 jump and conditional jump
61
- 2 call and conditional call
62
- 1 function return
63
- 1 nop
64 2 sinclairrf
 
65
The 9x8 address space is up to 8K.  This is achieved by pushing the 8 lsb of the
66
target address onto the data stack immediately before the jump or call
67
instruction and by encoding the 5 msb of the address within the jump or call
68
instruction.  The instruction immediately following a jump, call, or return is
69
executed before the instruction sequence at the destination address is executed
70
(this is illustrated later).
71
 
72
Up to four banks of memory, either RAM or ROM, are available.  Each of these can
73
be up to 256 bytes long, providing a total of up to 1 kB of memory.
74
 
75 4 sinclairrf
The assembly language is Forth-like.  Built-in macros are used to encode the
76
jump and call instructions and to encode the 2-bit memory bank index in memory
77
store and fetch instructions.
78 2 sinclairrf
 
79
The computer compiler and assembler are written in Python 2.7.  Peripherals are
80
implemented by Python modules which generate the I/O ports and the peripheral
81
HDL.
82
 
83
The computer compiler is documented in the doc directory.  The 9x8 core is
84
documented in the core/9x8/doc directory.  Several examples are provided.
85
 
86
The computer compiler and assembler are fully functional and there are no known
87
bugs.
88
 
89
 
90
SPEED AND RESOURCE UTILIZATION
91
================================================================================
92
These device speed and resource utilization results are copied from the build
93
tests.  The full results are listed in core/9x8/build/uc/uc_led.9x8 which
94
represents a minimal processor implementation (clock, reset, and one output).
95
See the uc_peripherals.9x8 file for results for a more complicated
96
implementation.  Device-specific scripts state how these performance numbers
97
were obtained.
98
 
99
VENDOR          DEVICE          BEST SPEED      SMALLEST RESOURCE UTILIZATION
100
------          ------          ----------      -------------------------------
101
Altera          Cyclone-III     190.6 MHz       282 LEs           (preliminary)
102
Altera          Cyclone-IV      192.1 MHz       281 LEs           (preliminary)
103
Altera          Stratix-V       372.9 MHz       198 ALUTs         (preliminary)
104
Lattice         LCMXO2-640ZE-3   98.4 MHz       206 LUTs          (preliminary)
105
Lattice         LFE2-6E-7       157.9 MHz       203 LUTs          (preliminary)
106
Xilinx          Spartan-3A      148.3 MHz       130 slices, 231 4-input LUTS
107
Xilinx          Spartan-6       200.0 MHz        36 slices, 120 Slice LUTs
108
Xilinx          Virtex-6        275.7 MHz        38 slices, 122 Slice LUTs (p.)
109
 
110
Disclaimer:  Like other embedded processors, these are the maximum performance
111
claims.  Realistic implementations will produce slower maximum clock rates,
112
particularly with lots of I/O ports and peripherals and with the constraint of
113
existing with other subsystems in the FPGA fabric.  What these performance
114
numbers do provide is an estimate of the amount of slack available.  For
115
example, you can't realistically expect to get 110 MHz from a processor that,
116
under ideal conditions, routes and places at 125 MHz, but you can with a
117 4 sinclairrf
processor that synthesizes at 150 MHz.
118 2 sinclairrf
 
119
 
120
EXAMPLE:
121
================================================================================
122
 
123
The LED flasher example demonstrates the simplicity of the architectural
124
specification and the Forth-like assembly language.
125
 
126
The architecture file, named "led.9x8", with the comments and user header
127
removed, is as follows:
128
 
129
  ARCHITECTURE    core/9x8 Verilog
130
 
131
  INSTRUCTION     2048
132
  RETURN_STACK    32
133
  DATA_STACK      32
134
 
135
  PORTCOMMENT LED on/off signal
136
  OUTPORT 1-bit o_led O_LED
137
 
138
  ASSEMBLY led.s
139
 
140
The ARCHITECTURE configuration command specifies the 9x8 core and the Verilog
141
language.  The INSTRUCTION, RETURN_STACK, and DATA_STACK configuration commands
142
specify the sizes of the instruction space, return stack, and data stack.  The
143
content of the PORTCOMMENT configuration command is inserted in the module
144
declaration -- this facilitates identifying signals in micro controllers with a
145
lot of inputs and outputs.  The single OUTPORT statement specifies a 1-bit
146
signal named "o_led".  This signal is accessed in the assembly code through the
147
symbol "O_LED".  The ASSEMBLY command specifies the single input file "led.s,"
148
which is listed below.  The output module will be "led.v"
149
 
150
The "led.s" assembly file is as follows:
151
 
152
  ; Consume 256*5+4 clock cycles.
153
  ; ( - )
154
  .function pause
155
 
156
  .return
157
 
158
  ; Repeat "pause" 256 times.
159
  ; ( - )
160
  .function repause
161
 
162
  .return
163
 
164
  ; main program (as an infinite loop)
165
  .main
166
 
167
 
168
This example is coded in a traditional Forth structure with the conditional
169
jumps consuming the top of the data stack.  Examining the "pause" function, the
170
".function" directive specifies the start of a function and the function name.
171
The "0" instruction pushes the value "0" onto the top of the data stack.
172
":inner" is a label for a jump instruction.  The "1-" instruction decrements the
173
top of the data stack.  "dup" is the Forth instruction to push a duplicate of
174
the top of the data stack onto the data stack.  The ".jumpc(inner)" macro
175
expands to three instructions as follows:  (1) push the 8 lsb of the address at
176
"inner" onto the data stack, (2) the conditional jump instruction with the 5 msb
177
of the address of "inner" (the jumpc instruction also drops the top of the data
178
stack with its partial address), and (3) a "drop" instruction to drop the
179
duplicated loop count from the top of the data stack.  Finally, the "drop"
180
instruction drops the loop count from the top of the data stack and the
181
".return" macro generates the "return" instruction and a "nop" instruction.
182
 
183
The function "repause" calls the "pause" function 256 times.  The main program
184
body is identified by the directive ".main"  This function runs an infinite loop
185
that toggles the lsb of the LED output, outputs the LED setting, and calls the
186
"repause" function.
187
 
188
A tighter version of the loop in the "pause" function can be written as
189
 
190
  ; Consume 256*3+3 clock cycles.
191
  ; ( - )
192
  .function pause
193
    0xFF :inner .jumpc(inner,1-) .return(drop)
194
 
195
which is 3 cycles long for each iteration, the "drop" that is normally part
196
of the ".jumpc" macro has been replaced by the decrement instruction, and the
197
final "drop" instruction has replaced the default "nop" instruction that is
198
normally part of the ".return" macro.  Note that the decrement is performed
199
after the non-zero comparison in the "jumpc" instruction.
200
 
201
A version of the "pause" function that consumes exactly 1000 clock cycles is:
202
 
203
  .function pause
204
    ${(1000-4)/4-1} :inner nop .jumpc(inner,1-) drop .return
205
 
206
The instruction memory initialization for the processor module includes the
207
instruction mnemonics being performed at each address and replaces the "list"
208
file output from traditional assemblers.  The following is the memory
209
initialization for this LED flasher example.  The main program always starts at
210
address zero and functions are included in the order encountered.  Unused
211
library functions are not included in the generated instruction list.
212
 
213
  reg [8:0] s_opcodeMemory[2047:0];
214
  initial begin
215
    // .main
216
    s_opcodeMemory['h000] = 9'h100; // 0x00
217
    s_opcodeMemory['h001] = 9'h101; // :inner 0x01
218
    s_opcodeMemory['h002] = 9'h052; // ^
219
    s_opcodeMemory['h003] = 9'h008; // dup
220
    s_opcodeMemory['h004] = 9'h100; // O_LED
221
    s_opcodeMemory['h005] = 9'h038; // outport
222
    s_opcodeMemory['h006] = 9'h054; // drop
223
    s_opcodeMemory['h007] = 9'h10D; //
224
    s_opcodeMemory['h008] = 9'h0C0; // call repause
225
    s_opcodeMemory['h009] = 9'h000; // nop
226
    s_opcodeMemory['h00A] = 9'h101; //
227
    s_opcodeMemory['h00B] = 9'h080; // jump inner
228
    s_opcodeMemory['h00C] = 9'h000; // nop
229
    // repause
230
    s_opcodeMemory['h00D] = 9'h100; // 0x00
231
    s_opcodeMemory['h00E] = 9'h119; // :inner
232
    s_opcodeMemory['h00F] = 9'h0C0; // call pause
233
    s_opcodeMemory['h010] = 9'h000; // nop
234
    s_opcodeMemory['h011] = 9'h05C; // 1-
235
    s_opcodeMemory['h012] = 9'h008; // dup
236
    s_opcodeMemory['h013] = 9'h10E; //
237
    s_opcodeMemory['h014] = 9'h0A0; // jumpc inner
238
    s_opcodeMemory['h015] = 9'h054; // drop
239
    s_opcodeMemory['h016] = 9'h054; // drop
240
    s_opcodeMemory['h017] = 9'h028; // return
241
    s_opcodeMemory['h018] = 9'h000; // nop
242
    // pause
243
    s_opcodeMemory['h019] = 9'h100; // 0x00
244
    s_opcodeMemory['h01A] = 9'h05C; // :inner 1-
245
    s_opcodeMemory['h01B] = 9'h008; // dup
246
    s_opcodeMemory['h01C] = 9'h11A; //
247
    s_opcodeMemory['h01D] = 9'h0A0; // jumpc inner
248
    s_opcodeMemory['h01E] = 9'h054; // drop
249
    s_opcodeMemory['h01F] = 9'h054; // drop
250
    s_opcodeMemory['h020] = 9'h028; // return
251
    s_opcodeMemory['h021] = 9'h000; // nop
252
    s_opcodeMemory['h022] = 9'h000;
253
    s_opcodeMemory['h023] = 9'h000;
254
    s_opcodeMemory['h024] = 9'h000;
255
    ...
256
    s_opcodeMemory['h7FF] = 9'h000;
257
  end
258
 
259
 
260
DATA and STRINGS
261
================================================================================
262
 
263
Values are pushed onto the data stack by stating the value.  For example,
264
 
265
  0x10 0x20 'x'
266
 
267
will successively push the values 0x10, 0x20, and the character 'x' onto the
268
data stack.  The character 'x' will be at the top of the data stack after these
269
3 instructions.
270
 
271
See the COMPUTED VALUES section for using computing values in the assembler.
272
 
273
There are four ways to specify strings in the assembler.  Simply stating the
274
string
275
 
276
  "Hello World!"
277
 
278
puts the characters in the string onto the data stack with the letter 'H' at the
279
top of the data stack.  I.e., the individual push operations are
280
 
281
  '!' 'd' 'l' ... 'e' 'H'
282
 
283
Prepending a 'N' before the double quote, like
284
 
285
  N"Hello World!"
286
 
287
puts a null-terminated string onto the data stack.  I.e., the value under the
288
'!' will be a 0x00 and the instruction sequence would be
289
 
290
  0x0 '!' 'd' 'l' ... 'e' 'H'
291
 
292
Forth uses counted strings, which are specified here as
293
 
294
  C"Hello World!"
295
 
296 4 sinclairrf
In this case the number of characters, 12, in the string is pushed onto the data
297
stack after the 'H', i.e., the instruction sequence would be
298 2 sinclairrf
 
299
  '!' 'd' 'l' ... 'e' 'H' 12
300
 
301
Finally, a lesser-counted string specified like
302
 
303
  c"Hello World!"
304
 
305
is similar to the Forth-like counted string except that the value pushed onto
306
the data stack is one less than the number of characters in the string.  Here
307
the value pushed onto the data stack after the 'H' would be 11 instead of 12.
308
 
309
Simple strings are useful for constructing more complex strings in conjunction
310
with other string functions.   For example, to transmit the hex values of the
311
top 2 values in the data stack, do something like:
312
 
313
  ; move the top 2 values to the return stack
314
  >r >r
315
  ; push the tail of the message onto the data stack
316
  N"\n\r"
317
  ; convert the 2 values to 2-digit hex values, LSB deepest in the stack
318
  r> .call(string_byte_to_hex)
319
  r> .call(string_byte_to_hex)
320
  ; pre-pend the identification message
321
  "Message:  "
322
  ; transmit the string, using the null terminator to terminate the loop
323
  :loop_transmit .outport(O_UART_TX) .jumpc(loop_transmit,nop) drop
324
 
325
A lesser-counted string would be used like:
326
 
327
  c"Status Message\r\n"
328
  :loop_msg swap .outport(O_UART_TX) .jumpc(loop_msg,1-) drop
329
 
330
These four string formats can also be used for variable definitions.  For
331
example 3 variables could be allocated and initialized as follows:
332
 
333
  .memory ROM myrom
334
  .variable fred N"fred"
335
  .variable joe  c"joe"
336
  .variable moe  "moe"
337
 
338
These are equivalent to
339
 
340
  .variable fred 'f' 'r' 'e' 'd'  0
341
  .variable joe   2  'j' 'o' 'e'
342
  .variable moe  'm' 'o' 'e'
343
 
344
with 5 bytes allocated for the variable fred, 4 bytes for joe, and 3 bytes for
345
moe.
346
 
347
The following escaped characters are recognized:
348
 
349
  '\0'     null character
350
  '\a'     bell
351
  '\b'     backspace
352
  '\f'     form feed
353
  '\n'     line feed
354
  '\r'     carriage return
355
  '\t'     horizontal tab
356
  "\0ooo"  3-digit octal value
357
  "\xXX"   2-digit hex value where X is one of 0-9, a-f, or A-F
358
  "\Xxx"   alternate form for 2-digit hex value
359
  "\\"     backslash character
360
 
361
Unrecognized escaped characters are simple treated as that character.  For
362
example, '\m' is treated as the single character 'm' and '\'' is treated as the
363
single quote character.
364
 
365
 
366
INSTRUCTIONS
367
================================================================================
368
 
369
The 41 instructions are as follows (see core/9x8/doc/opcodes.html for detailed
370
descriptions).  Here, T is the top of the data stack, N is the next-to-top of
371
the data stack, and R is the top of the return stack.  All of these are the
372
values at the start of the instruction.
373
 
374
The nop instruction does nothing:
375
 
376
  nop           no operation
377
 
378
Mathematical operations drop one value from the data stack and replace the new
379
top with the state value:
380
 
381
  &             bitwise and of N and T
382
  +             N + T
383
  -             N - T
384
  ^             bitwise exclusive or of N and T
385
  or            bitwise or of N and T
386
 
387
Increment and decrement replace the top of the data stack with the stated
388
result.
389
 
390
  1+            replace T with T+1
391
  1-            replace T with T-1
392
 
393
Comparison operations replace the top of the data stack with the results of the
394
comparison:
395
 
396
  -1<>          replace T with -1 if T != -1, otherwise set T to 0
397
  -1=           replace T with 0 if T != -1, otherwise leave T as -1
398
  0<>           replace T with -1 if T != 0, otherwise leave T as 0
399
  0=            replace T with -1 if T == 0, otherwise set T to 0
400
 
401
Shift/rotate operations replace the top of the data with with the result of the
402
specified shift/rotate.
403
 
404
  0>>           shift T right one bit and set the msb to 0
405
  1>>           shift T right 1 bit and set the msb to 1
406
  <<0           shift T left 1 bit and set the lsb to 0
407
  <<1           shift T left 1 bit and set the lsb to 1
408
  <
409
  lsb>>         rotate T right 1 bit
410
  msb>>         shift T right 1 bit and set the msb to the old msb
411
 
412
Note:  There is no "<
413
 
414
Stack manipulation instructions are as follows:
415
 
416
  >r            pushd T onto the return stack and drop T from the data stack
417
  drop          drop T from the data stack
418
  dup           push T onto the data stack
419
  nip           drop N from the data stack
420
  over          push N onto the data stack
421
  push          push a single byte onto the data stack, see the preceding DATA
422
                and STRINGS section
423
  r>            push R onto the data stack and drop R from the return stack
424
  r@            push R onto the data stack
425
  swap          swap N and T
426
 
427
Jump and call and their conditional variants are as follows and must use the
428
associated macro:
429
 
430
  call          call instruction -- use the .call macro
431
  callc         conditional call instruction -- use the .callc macro
432
  jump          jump instruction -- use the .jump macro
433
  jumpc         conditional jump instruction -- use the .jumpc macro
434
  return        return instruction -- use the .return macro
435
 
436
See the MEMORY section for details for these memory operations.  T is the
437
address for the instructions, N is the value stored.  Chained fetches insert the
438
value below T.  Chained stores drop N.
439
 
440
  fetch         memory fetch, replace T with the value fetched
441
  fetch+        chained memory fetch, retain and increment the address
442
  fetch-        chained memory fetch, retain and decrement the address
443
  store         memory store, drop T (N is the next value of T)
444
  store+        chained memory store, retain and increment the address
445
  store-        chained memory store, retain and decrement the address
446
 
447
See the INPORT and OUTPORT section for details for the input and output port
448
operations:
449
 
450
  inport        input port operation
451
  outport       output port operation
452
 
453
The .call, .callc, .jump, and .jumpc macros encode the 3 instructions required
454
to perform a call or jump along with the subsequent instructions.  The default
455
third instructions is "nop" for .call and .jump and it is "drop" for .callc and
456
.jumpc.  The default can be changed by specifying the optional second argument.
457
The .call and .callc macros must specify a function identified by the .function
458
directive and the .jump and .jumpc macros must specify a label.
459
 
460
The .function directive takes the name of the function and the function body.
461
Function bodies must end with a .return or a .jump macro.  The .main directive
462
defines the body of the main function, i.e., the function at which the processor
463
starts.
464
 
465
The .include directive is used to read additional assembly code.  You can, for
466
example, put the main function in uc.s, define constants and such in consts.s,
467
define the memories and variables in ram.s, and include UART utilities in
468
uart.s.  These files could be included in uc.s through the following lines:
469
 
470
  .include consts.s
471
  .include myram.s
472
  .include uart.s
473
 
474
The assembler only includes functions that can be reached from the main
475
function.  Unused functions will not consume instruction space.
476
 
477
 
478
INPORT and OUTPORT
479
================================================================================
480
 
481
The INPORT and OUTPORT configuration commands are used to specify 2-state inputs
482
and outputs.  For example
483
 
484
  INPORT 8-bit i_value I_VALUE
485
 
486
specifies a single 8-bit input signal named "i_value" for the module.  The port
487
is accessed in assembly by ".inport(I_VALUE)" which is equivalent to the
488
two-instruction sequence "I_VALUE inport".  To input an 8-bit value from a FIFO
489
and send a single-clock-cycle wide acknowledgment strobe, use
490
 
491
  INPORT 8-bit,strobe i_fifo,o_fifo_ack I_FIFO
492
 
493
The assembly ".inport(I_FIFO)" will automatically send an acknowledgment strobe
494
to the FIFO through "o_fifo_ack".
495
 
496
A write port to an 8-bit FIFO is similarly specified by
497
 
498
  OUTPORT 8-bit,strobe o_fifo,o_fifo_wr O_FIFO
499
 
500
The assembly ".outport(O_FIFO)" which is equivalent to "O_FIFO outport drop"
501
will automatically send a write strobe to the FIFO through "o_fifo_wr".
502
 
503
Multiple signals can be packed into a single input or output port by defining
504
them in comma separated lists.  The associated bit masks can be defined
505
coincident with the port definition as follows:
506
 
507
  INPUT 1-bit,1-bit i_fifo_full,i_fifo_empty I_FIFO_STATUS
508
  CONSTANT C_FIFO_STATUS__FULL  0x02
509
  CONSTANT C_FIFO_STATUS__EMPTY 0x01
510
 
511
Checking the "full" status of the FIFO can be done by the following assembly
512
sequence:
513
 
514
  .inport(I_FIFO_STATUS) C_FIFO_STATUS__FULL &
515
 
516
Multiple bits can be masked using a computed value as follows (see below for
517
more details):
518
 
519
  .inport(I_FIFO_STATUS) ${C_FIFO_STATUS__FULL|C_FIFO_STATUS__EMPTY} &
520
 
521
The "${...}" creates an instruction to push the 8-bit value in the braces onto
522
the data stack.  The computation is performed using the Python "eval" function
523
in the context of the program constants, memory addresses, and memory sizes.
524
 
525
Preceding all of these by
526
 
527
  PORTCOMMENT external FIFO
528
 
529
produces the following in the Verilog module statement.  The I/O ports are
530
listed in the order in which they are declared.
531
 
532
  // external FIFO
533
  input  wire       [7:0] i_fifo,
534
  output reg              o_fifo_ack,
535
  output reg        [7:0] o_fifo,
536
  output reg              o_fifo_wr,
537
  input  wire             i_fifo_full,
538
  input  wire             i_fifo_empty
539
 
540
The HDL to implement the inputs and outputs is computer generated.  Identifying
541
the port name in the architecture file eliminates the possibility of
542
inconsistent port numbers between the HDL and the assembly.  Specifying the bit
543
mapping for the assembly code immediately after the port definition helps
544
prevent inconsistencies between the port definition and the bit mapping in the
545
assembly code.
546
 
547
The normal initial value for an outport is zero.  This can be changed by
548
including an optional initial value as follows.  This initial value will be
549
applied on system startup and when the micro controller is reset.
550
 
551
  OUTPORT 4-bit=4'hA o_signal O_SIGNAL
552
 
553
An isolated output strobe can also be created using:
554
 
555
  OUTPORT strobe o_strobe O_STROBE
556
 
557
The assembly ".outstrobe(O_STROBE)" which is equivalent to "O_STROBE outport"
558
is used to generate the strobe.  Since "O_STROBE" is a strobe-only outport, the
559
".outport" macro cannot be used with it.  Similarly, attempting to use the
560
".outstrobe" macro will generate an error if it is invoked with an outport
561
that does have data.
562
 
563
A single-bit "set-reset" input port type is also included.  This sets a register
564
when an external strobe is received and clears the register when the port is
565
read.  For example, to capture an external timer for a polled-loop, include the
566
following in the architecture file:
567
 
568
  PORTCOMMENT external timer
569
  INPORT set-reset i_timer I_TIMER
570
 
571
The following is the assembly code to conditionally call two functions when the
572
timer event is encountered:
573
 
574
  .inport(I_TIMER)
575
    .callc(timer_event_1,nop)
576
    .callc(timer_event_2)
577
 
578
The "nop" in the first conditional call prevents the conditional from being
579
dropped from the data stack so that it can be used by the subsequent conditional
580
function call.
581
 
582
 
583
PERIPHERAL
584
================================================================================
585
 
586
Peripherals are implemented via Python modules.  For example, an open drain I/O
587
signal, such as is required for an I2C bus, does not fit the INPORT and OUTPORT
588
functionality.  Instead, an "open_drain" peripheral is provided by the Python
589
script in "core/9x8/peripherals/open_drain.py".  This puts a tri-state I/O in
590
the module statement, allows it to be read through an "inport" instruction, and
591
allows it to be set low or released through an "outport" instruction.  An I2C
592
bus with separate SCL and SDA ports can then be incorporated into the processor
593
as follows:
594
 
595
  PORTCOMMENT     I2C bus
596
  PERIPHERAL      open_drain      inport=I_SCL \
597
                                  outport=O_SCL \
598
                                  iosignal=io_scl
599
  PERIPHERAL      open_drain      inport=I_SDA \
600
                                  outport=O_SDA \
601
                                  iosignal=io_sda
602
 
603
The default width for this peripheral is 1 bit.  The module statement will then
604
include the lines
605
 
606
  // I2C bus
607
  inout  wire     io_scl,
608
  inout  wire     io_sda
609
 
610
The assembly code to set the io_scl signal low is "0 .outport(O_SCL)" and to
611
release it is "1 .outport(O_SCL)".  These instruction sequences are actually
612
"0 O_SCL outport drop" and "1 O_SCL outport drop" respectively.  The "outport"
613
instruction drops the top of the data stack (which contained the port number)
614
and sends the next-to-the-top of the data stack to the designated output port.
615
 
616
Two examples of I2C device operation are included in the examples directory.
617
 
618
The following peripherals are provided:
619
  adder_16bit   16-bit adder/subtractor
620
  AXI4_Lite_Master
621
                32-bit read/write AXI4-Lite Master
622
                Note:  The synchronous version has been tested on hardware.
623
  AXI4_Lite_Slave_DualPortRAM
624
                dual-port-RAM interface for the micro controller to act as an
625
                AXI4-Lite slave
626
  big_inport    shift reads from a single INPORT to construct a wide input
627
  big_outport   shift writes to a single OUTPORT to construct a wide output
628
  counter       counter for number of received high cycles from signal
629
  inFIFO_async  input FIFO with an asynchronous write clock
630
  latch         latch wide inputs for sampling
631
  monitor_stack simulation diagnostic (see below)
632
  open_drain    for software-implemented I2C buses or similar
633
  outFIFO_async output FIFO with an asynchronous read clock
634
  PWM_8bit      PWM generator with an 8-bit control
635
  timer         timing for polled loops or similar
636
  trace         simulation diagnostic (see below)
637
  UART          bidirectional UART
638
  UART_Rx       receive UART
639
  UART_Tx       transmit UART
640 3 sinclairrf
  wide_strobe   1 to 8 bit strobe generator
641 2 sinclairrf
 
642
The following command illustrates how to display the help message for
643
peripherals:
644
 
645
  echo "ARCHITECTURE core/9x8 Verilog" | ssbcc -P "big_inport help" - | less
646
 
647
User defined peripherals can be in the same directory as the architecture file
648
or a subdirectory named "peripherals".
649
 
650
 
651
PARAMETER and LOCALPARAM
652
================================================================================
653
 
654
Parameters are incorporated through the PARAMETER and LOCALPARAM configuration
655
commands.  For example, the clock frequency in hertz is needed for UARTs for
656
their baud rate generator.  The configuration command
657
 
658
  PARAMETER G_CLK_FREQ_HZ 97_000_000
659
 
660
specifies the clock frequency as 97 MHz.  The HDL instantiating the processor
661
can change this specification.  The frequency can also be changed through the
662
command-line invocation of the computer compiler.  For example,
663
 
664
  ssbcc -G "G_CLK_FREQ_HZ=100_000_000" myprogram.9x8
665
 
666
specifies that a frequency of 100 MHz be used instead of the default frequency
667
of 97 MHz.
668
 
669
The LOCALPARAM configuration command can be used to specify parameters that
670
should not be changed by the surrounding HDL.  For example,
671
 
672
  LOCALPARAM L_VERSION 24'h00_00_00
673
 
674
specifies a 24-bit parameter named "L_VERSION".  The 8-bit major, minor, and
675
build sections of the parameter can be accessed in an assembly program using
676
"L_VERSION[16+:8]", "L_VERSION[8+:8]", and "L_VERSION[0+:8]".
677
 
678
For both parameters and localparams, the default range is "[0+:8]".  The
679
instruction memory is initialized using the parameter value during synthesis,
680
not the value used to initialize the parameter.  That is, the instruction memory
681
initialization will be:
682
 
683
  s_opcodeMemory[...] = { 1'b1, L_VERSION[16+:8] };
684
 
685
The value of the localparam can be set when the computer compiler is run using
686
the "-G" option.  For example,
687
 
688
  ssbcc -G "L_VERSION=24'h01_04_03" myprogram.9x8
689
 
690
can be used in a makefile to set the version number for a release without
691
modifying the micro controller architecture file.
692
 
693
 
694
DIAGNOSTICS AND DEBUGGING
695
================================================================================
696
 
697
A 3-character, human readable version of the opcode can be included in
698
simulation waveform outputs by adding "--display-opcode" to the ssbcc command.
699
 
700
The stack health can be monitored during simulation by including the
701
"monitor_stack" peripheral through the command line.  For example, the LED
702
flasher example can be generated using
703
 
704
  ssbcc -P monitor_stack led.9x8
705
 
706
This allows the architecture file to be unchanged between simulation and an FPGA
707
build.
708
 
709
Stack errors include underflow and overflow, malformed data validity, and
710
incorrect use of the values on the return stack (returns to data values and data
711
operations on return addresses).  Other errors include out-of-range for memory,
712
inport, and outport operations.
713
 
714
When stack errors are detected the last 50 instructions are dumped to the
715
console and the simulation terminates.  The dump includes the PC, numeric
716
opcode, textual representation of the opcode, data stack pointer, next-to-top of
717
the data stack, top of the data stack, top of the return stack, and the return
718
stack pointer.  Invalid stack values are displayed as "XX".  The length of the
719
history dumped is configurable.
720
 
721
Out-of-range PC checks are also performed if the instruction space is not a
722
power of 2.
723
 
724
A "trace" peripheral is also provided that dumps the entire execution history.
725
This was used to validate the processor core.
726
 
727
 
728
MEMORY ARCHITECTURE
729
================================================================================
730
 
731
The DATA_STACK, RETURN_STACK, INSTRUCTION, and MEMORY configuration commands
732
allocate memory for the data stack, return stack, instruction ROM, and memory
733
RAM and ROM respectively.  The data stack, return stack, and memories are
734
normally instantiated as dual-port LUT-based memories with asynchronous reads
735
while the instruction memory is always instantiated with a synchronous read
736
architecture.
737
 
738
The COMBINE configuration command is used to coalesce memories and to convert
739
LUT-based memories to synchronous SRAM-based memories.  For example, the large
740
SRAMs in modern FPGAs are ideal for storing the instruction opcodes and their
741
dual-ported access allows either the data stack or the return stack to be
742
stored in a relatively small region at the end of the large instruction memory.
743
Memories, which required dual-ported operation, can also be instantiated in
744
large RAMs either individually or in combination with each other.  Conversion
745
to SRAM-based memories is also useful for FPGA architectures that do not have
746
efficient LUT-based memories.
747
 
748
The INSTRUCTION configuration allocates memory for the processor instruction
749
space.  It has the form "INSTRUCTION N" or "INSTRUCTION N*M" where N must be a
750
power of 2.  The first form is used if the desired instruction memory size is a
751
power of 2.  The second form is used to allocate M memory blocks of size N
752
where M is not a power of 2.  For example, on an Altera Cyclone III, the
753
configuration command "INSTRUCTION 1024*3" allocates three M9Ks for the
754
instruction space, saving one M9K as compared to the configuration command
755
"INSTRUCTION 4096".
756
 
757
The DATA_STACK configuration command allocates memory for the data stack.  It
758
has the form "DATA_STACK N" where N is the commanded size of the data stack.
759
N must be a power of 2.
760
 
761
The RETURN_STACK configuration command allocates memory for the return stack and
762
has the same format as the DATA_STACK configuration command.
763
 
764
The MEMORY configuration command is used to define one to four memories, either
765
RAM or ROM, with up to 256 bytes each.  If no MEMORY configuration command is
766
issued, then no memories are allocated for the processor.  The MEMORY
767
configuration command has the format "MEMORY {RAM|ROM} name N" where
768
"{RAM|ROM}" specifies either a RAM or a ROM, name is the name of the memory and
769
must start with an alphabetic character, and the size of the memory, N, must be
770
a power of 2.  For example, "MEMORY RAM myram 64" allocates 64 bytes of memory
771
to form a RAM named myram.  Similarly, "MEMORY ROM lut 256" defines a 256 byte
772
ROM named lut.  More details on using memories is provided in the next section.
773
 
774
The COMBINE configuration command can be used to combine the various memories
775
for more efficient processor implementation as follows:
776
 
777
  COMBINE INSTRUCTION,
778
  COMBINE 
779
  COMBINE ,
780
  COMBINE 
781
 
782
where  is one of DATA_STACK, RETURN_STACK, or a list of one
783
or more ROMs and  is a list of one or more RAMs and/or ROMs.  The first
784
configuration command reserves space at the end of the instruction memory for
785
the DATA_STACK, RETURN_STACK, or listed ROMs.
786
 
787
The SRAM_WIDTH configuration command is used to make the memory allocations more
788
efficient when the SRAM block width is more than 9 bits.  For example,
789
Altera's Cyclone V family has 10-bit wide memory blocks and the configuration
790
command "SRAM_WIDTH 10" is appropriate.  The configuration command
791
sequence
792
 
793
  INSTRUCTION     1024
794
  RETURN_STACK    32
795
  SRAM_WIDTH      10
796
  COMBINE         INSTRUCTION,RETURN_STACK
797
 
798
will use a single 10-bit memory entry for each element of the return stack
799
instead of packing the 10-bit values into two memory entries of a 9-bit wide
800
memory.
801
 
802
The following illustrates a possible configuration for a Spartan-6 with a
803
2048-long SRAM and relatively large 64-deep data stack.  The data stack will be
804
in the last 64 elements of the instruction memory and the instruction space will
805
be reduced to 1984 words.
806
 
807
  INSTRUCTION   2048
808
  DATA_STACK    64
809
  COMBINE       INSTRUCTION,DATA_STACK
810
 
811
The following illustrates a possible configuration for a Cyclone-III with three
812
M9Ks for the instruction ROM and the data stack.
813
 
814
  INSTRUCTION   1024*3
815
  DATA_STACK    64
816
  COMBINE       INSTRUCTION,DATA_STACK
817
 
818
WARNING:  Some devices, such as Xilinx' Spartan-3A devices, do not support
819
asynchronous reads, so the COMBINE configuration command does not work for them.
820
 
821
WARNING:  Xilinx XST does not correctly infer a Block RAM when the
822
"COMBINE INSTRUCTION,RETURN_STACK" configuration command is used and the
823
instruction space is 1024 instructions or larger.  Xilinx is supposed to fix
824
this in a future release of Vivado so the fix will only apply to 7-series or
825
later FPGAs.
826
 
827
 
828
MEMORY
829
================================================================================
830
 
831
The MEMORY configuration command is used as follows to allocate a 128-byte RAM
832
named "myram" and to allocate a 32-byte ROM named "myrom".  Zero to four
833
memories can be allocated, each with up to 256 bytes.
834
 
835
  MEMORY RAM myram 128
836
  MEMORY ROM myrom  32
837
 
838
The assembly code to lay out the memory uses the ".memory" directive to identify
839
the memory and the ".variable" directive to identify the symbol and its content.
840
Single or multiple values can be listed and "*N" can be used to identify a
841
repeat count.
842
 
843
  .memory RAM myram
844
  .variable a 0
845
  .variable b 0
846
  .variable c 0 0 0 0
847
  .variable d 0*4
848
 
849
  .memory ROM myrom
850
  .variable coeff_table 0x04
851
                        0x08
852
                        0x10
853
                        0x20
854
  .variable hello_world N"Hello World!\r\n"
855
 
856
Single values are fetched from or stored to memory using the following assembly:
857
 
858
  .fetchvalue(a)
859
  0x12 .storevalue(b)
860
 
861
Multi-byte values are fetched or stored as follows.  This copies the four values
862
from coeff_table, which is stored in a ROM, to d.
863
 
864
  .fetchvector(coeff_table,4) .storevector(d,4)
865
 
866
The memory size is available using computed values (see below) and can be used
867
to clear the entire memory, etc.
868
 
869
The available single-cycle memory operation macros are:
870
  .fetch(mem_name)      replaces T with the value at the address T in the memory
871
                        mem_name
872
  .fetch+(mem_name)     pushes the value at address T in the memory mem_name
873
                        into the data stack below T and increments T
874
                        Note:  This is useful for fetching successive values
875
                               from memory into the data stack.
876
  .fetch-(mem_name)     similar to .fetch+ but decrements T
877
  .store(ram_name)      stores N at address T in the RAM ram_name, also drops
878
                        the top of the data stack
879
  .store+(ram_name)     stores N at address T in the RAM ram_name, also drops N
880
                        from the data stack and increments T
881
  .store-(ram_name)     similar to .store+ but decrements T
882
 
883
The following multi-cycle macros provide more generalized access to the
884
memories:
885
  .fetchvalue(var_name) fetches the single-byte value of var_name
886
                        Note:  This is equivalent to "var_name .fetch(mem_name)"
887
                               where mem_name is the memory in which var_name is
888
                               stored.
889
  .fetchindexed(var_name)
890
                        uses the top of the data stack as an index into var_name
891
                        Note:  This is equivalent to the 3 instruction sequence
892
                               "var_name + .fetch(mem_name)"
893
  .fetchoffset(var_name,offset)
894
                        fetches the single-byte value of var_name offset by
895
                        "offset" bytes
896
                        Note:  This is equivalent to
897
                               "${var_name+offset} .fetch(mem_name)"
898
  .fetchvector(var_name,N)
899
                        fetches N values starting at var_name into the data
900
                        stack with the value at var_name at the top and the
901
                        value at var_name+N-1 deep in the stack.
902
                        Note:  This is equivalent N+1 operation sequence
903
                               "${var_name+N-1} .fetch-(mem_name) ...
904
                               .fetch-(mem_name) .fetch(mem_name)"
905
                               where ".fetch-(mem_name)" is repeated N-1 times.
906
  .storevalue(var_name) stores the single-byte value at the top of the data
907
                        stack at var_name
908
                        Note:  This is equivalent to
909
                               "var_name .store(mem_name) drop"
910
                        Note:  The default "drop" instruction can be replaced by
911
                               providing the optional second argument.  For
912
                               example, the following instruction will store and
913
                               then decrement the value at the top of the data
914
                               stack:
915
                                 .storevalue(var_name,1-)
916
  .storeindexed(var_name)
917
                        uses the top of the data stack as an index into var_name
918
                        into which to store the next-to-top of the data stack.
919
                        Note:  This is equivalent to the 4 instruction sequence
920
                               "var_name + .store(mem_name) drop".
921
                        Note:  The default "drop" instruction can be overriden
922
                               by providing the optional second argument
923
                               similarly to the .storevalue macro.
924
  .storeoffset(var_name,offset)
925
                        stores the single-byte value at the top of the data
926
                        stack at var_name offset by "offset" bytes
927
                        Note:  This is equivalent to
928
                               "${var_name+offset} .store(mem_name) drop"
929
                        Note:  The optional third argument is as per the
930
                               optional second argument of .storevalue
931
  .storevector(var_name,N)
932
                        Does the reverse of the .fetchvector macro.
933
                        Note:  This is equivalent to the N+2 operation sequence
934
                               "var_name .store+(mem_name) ... .store+(mem_name)
935
                               .store(mem_name) drop"
936
                               where ".store+(mem_name)" is repeated N-1 times.
937
 
938
The .fetchvector and .storevector macros are intended to work with values stored
939
MSB first in memory and with the MSB toward the top of the data stack,
940
similarly to the Forth language with multi-word values.  To demonstrate how
941
this data structure works, consider the examples of decrementing and
942
incrementing a two-byte value on the data stack:
943
 
944
  ; Decrement a 2-byte value
945
  ;   swap 1- swap      - decrement the LSB
946
  ;   over -1=          - puts -1 on the top of the data stack if the LSB rolled
947
  ;                       over from 0 to -1, puts 0 on the top otherwise
948
  ;   +                 - decrements the MSB if the LSB rolled over
949
  ; ( u_LSB u_MSB - u_LSB' u_MSB' )
950
  .function decrement_2byte
951
  swap 1- swap over -1= .return(+)
952
 
953
  ; Increment a 2-byte value
954
  ;   swap 1+ swap      - increment the LSB
955
  ;   over 0=           - puts -1 on the top of the data stack if the LSB rolled
956
  ;                       over from 0xFF to 0, puts 0 on the top otherwise
957
  ;   -                 - increments the MSB if the LSB rolled over (by
958
  ;                       subtracting -1)
959
  ; ( u_LSB u_MSB - u_LSB' u_MSB' )
960
  .function increment_2byte
961
  swap 1+ swap over 0= .return(-)
962
 
963
 
964
COMPUTED VALUES
965
================================================================================
966
 
967
Computed values can be pushed on the stack using a "${...}" where the "..." is
968
evaluated in Python and cannot have any spaces.
969
 
970
For example, a loop that should be run 5 times can be coded as:
971
 
972
  ${5-1} :loop ... .jumpc(loop,1-) drop
973
 
974
which is a clearer indication that the loop is to be run 5 times than is the
975
instruction sequence
976
 
977
  4 :loop ...
978
 
979
Constants can be accessed in the computation.  For example, a block of memory
980
can be allocated as follows:
981
 
982
  .constant C_RESERVE
983
  .memory RAM myram
984
  ...
985
  .variable reserved 0*${C_RESERVE}
986
 
987
and the block of reserved memory can be cleared using the following loop:
988
 
989
  ${C_RESERVE-1} :loop 0 over .storeindexed(reserved) .jumpc(loop,1-) drop
990
 
991
The offsets of variables in their memory can also be accessed through a computed
992
value.  The value of reserved could also be cleared as follows:
993
 
994
  ${reserved-1} ${C_RESERVE-1} :loop >r
995
 
996
  r> .jumpc(loop,-1) drop drop
997
 
998
This body of this version of the loop is the same length as the first version.
999
In general, it is better to use the memory macros to access variables as they
1000
ensure the correct memory is accessed.
1001
 
1002
The sizes of memories can also be accessed using computed values.  If "myram" is
1003
a RAM, then "${size['myram']}" will push the size of "myram" on the stack.  As
1004
an example, the following code will clear the entire RAM:
1005
 
1006
  ${size['myram']-1} :loop 0 swap .jumpc(loop,.store-(myram)) drop
1007
 
1008
The lengths of I/O signals can also be accessed using computed values.  If
1009
"o_mask" is a mask, then "${size['o_mask']}" will push the size of the mask on
1010
the stack and "${2**size['o_mask']-1}" will push a value that sets all the bits
1011
of the mask.  The I/O signals include I/O signals instantiated by peripherals.
1012
For example, for the configuration command
1013
 
1014
  PERIPHERAL big_outport outport=O_BIG outsignal=o_big width=47
1015
 
1016
the width of the output signal is accessible using "${size['o_big']}".  You can
1017
set the wide signal to all zeroes using:
1018
 
1019
  ${(size['o_big']+7)/8-1} :loop 0 .outport(O_BIG) .jumpc(loop,1-) drop
1020
 
1021 3 sinclairrf
 
1022
MACROS
1023
================================================================================
1024
There are 3 types of macros used by the assembler.
1025
 
1026
The first kind of macros are built in to the assembler and are required to
1027
encode instructions that have embedded values or have mandatory subsequent
1028
instructions.  These include function calls, jump instructions, function return,
1029
and memory accesses as follows:
1030
  .call(function,[op])
1031
  .callc(function,[op])
1032
  .fetch(ramName)
1033
  .fetch+(ramName)
1034
  .fetch-(ramName)
1035
  .jump(label,[op])
1036
  .jumpc(label,[op])
1037
  .return([op])
1038
  .store(ramName)
1039
  .store+(ramName)
1040
  .store-(ramName)
1041
 
1042
The second kind of macros are designed to ease access to input and output
1043
operations and for memory accesses and to help ensure these operations are
1044
correctly constructed.  These are defined as python scripts in the
1045
core/9x8/macros directory and are automatically loaded into the assembler.
1046
These macros are:
1047
  .fetchindexed(variable)
1048
  .fetchoffset(variable,ix)
1049
  .fetchvalue(variableName)
1050
  .fetchvector(variableName,N)
1051
  .inport(I_name)
1052
  .outport(O_name[,op])
1053
  .outstrobe(O_name)
1054
  .storeindexed(variableName[,op])
1055
  .storeoffset(variableName,ix[,op])
1056
  .storevalue(variableName[,op])
1057
  .storevector(variableName,N)
1058
 
1059
The third kind of macro is user-defined macros.  These macros must be registered
1060
with the assembler using the ".macro" directive.
1061
 
1062
For example, the ".push32" macro is defined by macros/9x8/push32.py and can be
1063
used to push 32-bit (4-byte) values onto the data stack as follows:
1064
 
1065
  .macro push32
1066
  .constant C_X 0x87654321
1067
  .main
1068
    ...
1069
    .push32(0x12345678)
1070
    .push32(C_X)
1071
    .push32(${0x12345678^C_X})
1072
    ...
1073
 
1074
The following macros are provided in macros/9x8:
1075
  .push16(v)    push the 16-bit (2-byte) value "v" onto the data stack with the
1076
                MSB at the top of the data stack
1077 4 sinclairrf
  .push24(v)    push the 24-bit (3-byte) value "v" onto the data stack with the
1078
                MSB at the top of the data stack
1079 3 sinclairrf
  .push32(v)    push the 32-bit (4-byte) value "v" onto the data stack with the
1080
                MSB at the top of the data stack
1081 4 sinclairrf
  .pushByte(v,ix)
1082
                push the ix'th byte of v onto the data stack
1083
                Note:  ix=0 designates the LSB
1084 3 sinclairrf
 
1085
Directories are searched in the following order for macros:
1086
  .
1087
  ./macros
1088
  include paths specified by the '-M' command line option.
1089
  macros/9x8
1090
 
1091
The python scripts in core/9x8/macros and macros/9x8 can be used as design
1092
examples for user-defined macros.  The assembler does some type checking based
1093
on the list provided when the macro is registered by the "AddMacro" method, but
1094
additional type checking is often warranted by the macro "emitFunction" which
1095
emits the actual assembly code.  The ".fetchvector" and ".storevector" macros
1096 4 sinclairrf
demonstrates how to design variable-length macros.  Several macros in
1097
core/9x8/macros illustrate designing macros with optional arguments.
1098 3 sinclairrf
 
1099
It is not an error to repeat the ".macro MACRO_NAME" directive for user-defined
1100
macros.  The assembler will issue a fatal error if a user-defined macro
1101
conflicts with a built-in macro.
1102
 
1103
 
1104 2 sinclairrf
CONDITIONAL COMPILATION
1105
================================================================================
1106
The computer compiler and assembler recognize conditional compilation as
1107
follows:  .IFDEF, .IFNDEF, .ELSE, and .ENDIF can be used in the architecture
1108
file and they can be used to conditionally include functions, files, etc within
1109
the assembly code; .ifdef, .ifndef, .else, and .endif can be used in function
1110
bodies, variable bodies, etc. to conditionally include assembly code, symbols,
1111
or data.  Conditionals cannot cross file boundaries.
1112
 
1113
The computer compiler examines the list of defined symbols such as I/O ports,
1114
I/O signals, etc. to evaluate the true/false condition associated with the
1115
.IFDEF and .IFNDEF commands.  The "-D" option to the computer compiler is
1116
provided to define symbols for enabling conditionally compiled configuration
1117
commands.  Similarly, the assembler examines the list of I/O ports, I/O signals,
1118
parameters, constants, etc. to evaluate the .IFDEF, .IFNDEF, .ifdef, and .ifndef
1119
conditionals.
1120
 
1121
For example, a diagnostic UART can be conditionally included using the
1122
configuration commands:
1123
 
1124
  .IFDEF ENABLE_UART
1125
  PORTCOMMENT Diagnostic UART
1126
  PERIPHERAL UART_Tx outport=O_UART_TX ...
1127
  .ENDIF
1128
 
1129
And the assembly code can include conditional code fragments such the following,
1130
where the existence of the output port is used to determine whether or not to
1131
send a character to that output port:
1132
 
1133
  .ifdef(O_UART_TX) 'A' .outport(O_UART_TX) .endif
1134
 
1135
Invoking the computer compiler with "-D ENABLE_UART" will generate a module with
1136
the UART peripheral and will enable the conditional code sending the 'A'
1137
character to the UART port.
1138
 
1139
The following code can be used to preclude multiple attempted inclusions of an
1140
assembly library file.
1141
 
1142
  ; put these two lines near the top of the file
1143
  .IFNDEF C_FILENAME_INCLUDED
1144
  .constant C_FILENAME_INCLUDED 1
1145
  ; put the library body here
1146
  ...
1147
  ; put this line at the bottom of the file
1148
  .ENDIF ; .IFNDEF C_FILENAME_INCLUDED
1149
 
1150
The ".INCLUDE" configuration command can be used to read configuration commands
1151
from additional sources.
1152
 
1153
 
1154
SIMULATIONS
1155
================================================================================
1156
 
1157
Simulations have been performed with Icarus Verilog, Verilator, and Xilinx'
1158
ISIM.  Icarus Verilog is good for short, simple simulations and is used for the
1159
core and peripheral test benches; Verilator for long simulations of large,
1160
complex systems; and ISIM when Xilinx-specific cores are used.  Verilator is
1161
the fastest simulators I've encountered.  Verilator is also used for lint
1162
checking in the core test benches.
1163
 
1164
 
1165
MEM INITIALIZATION FILE
1166
================================================================================
1167
 
1168
A memory initialization file is produced during compilation.  This file can be
1169
used with tools such as Xilinx' data2mem to modify the SRAM contents without
1170
having to rebuild the entire system.  It is restricted to the opcode memory
1171
initialization.  The file must be processed before it can be used by specific
1172
tools, see doc/MemoryInitialization.html.
1173
 
1174
WARNING:  The values of parameters used in the assembly code must match the
1175
instantiated design.
1176
 
1177
 
1178
THEORY OF OPERATION
1179
================================================================================
1180
 
1181
Registers are used for the top of data stack, "T", and the next-to-top of the
1182
data stack, "N".  The data stack is a separate memory.  This means that the
1183
"DATA_STACK N" configuration command actually allows N+2 values in the data
1184
stack since T and N are not stored in the N-element deep data stack.
1185
 
1186
The return stack is similar in that "R" is the top of the return stack and the
1187
"RETURN_STACK N" allocates an additional N words of memory.  The return stack is
1188
the wider of the 8-bit data width and the program counter width.
1189
 
1190
The program counter is always either incremented by 1 or is set to an address
1191
as controlled by jump, jumpc, call, callc, and return instructions.  The
1192
registered program counter is used to read the next opcode from the instruction
1193
memory and this opcode is also registered in the memory.  This means that there
1194
is a 1 clock cycle delay between the address changing and the associated
1195
instruction being performed.  This is also part of the architecture required to
1196
have the processor operate at one instruction per clock cycle.
1197
 
1198
Separate ALUs are used for the program counter, adders, logical operations, etc.
1199
and MUXes are used to select the values desired for the destination registers.
1200
The instruction execution consists of translating the upper 6 msb of the opcode
1201
into MUX settings and performing opcode-dependent ALU operations as controlled
1202
by the 3 lsb of the opcode (during the first half of the clock cycle) and then
1203
setting the T, N, R, memories, etc. as controlled by the computed MUX settings.
1204
 
1205
The "core.v" file is the code for these operations.  Within this file there are
1206
several "@xxx@" strings that specify where the computer compiler is to insert
1207
code such as I/O declarations, memories, inport interpretation, outport
1208
generation, peripherals, etc.
1209
 
1210
The file structure, i.e., putting the core and the assembler in "core/9x8"
1211
should facilitate application-specific modification of processor.  For example,
1212
the store+, store-, fetch+, and fetch- instructions could be replaced with
1213
additional stack manipulation operations, arithmetic operations with 2 byte
1214
results, etc.  Simply copy the "9x8" directory to something like "9x8_XXX" and
1215
make your modifications in that directory.  The 8-bit peripherals should still
1216
work, but the 9x8 library functions may need rework to accommodate the
1217
modifications.
1218
 
1219
 
1220
MISCELLANEOUS
1221
================================================================================
1222
 
1223 4 sinclairrf
Features and peripherals are still being added and the documentation is
1224
incomplete.  The output HDL is currently restricted to Verilog although a VHDL
1225
package file is automatically generated by the computer compiler.
1226
 
1227 2 sinclairrf
The "INVERT_RESET" configuration command is used to indicate an active-low reset
1228
is input to the micro controller rather than an active-high reset.
1229
 
1230
A VHDL package file is automatically generated by the computer compiler.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.