OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [README] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
SSBCC.9x8 is a free Small Stack-Based Computer Compiler with a 9-bit opcode,
2 4 sinclairrf
8-bit data core designed to facilitate FPGA HDL development.
3 2 sinclairrf
 
4 4 sinclairrf
The primary design criteria are:
5
- high speed (to avoid timing issues)
6
- low fabric utilization
7
- vendor independent
8
- development tools available for all operating systems
9
 
10
It has been used in Spartan-3A, Spartan-6, Virtex-6, and Artix-7 FPGAs and has
11
been built for Altera, Lattice, and other Xilinx devices.  It is faster and
12
usually smaller than vendor provided processors.
13
 
14 2 sinclairrf
The compiler takes an architecture file that describes the micro controller
15
memory spaces, inputs and outputs, and peripherals and which specifies the HDL
16
language and source assembly.  It generates a single HDL module implementing
17
the entire micro controller.  No user-written HDL is required to instantiate
18
I/Os, program memory, etc.
19
 
20 4 sinclairrf
The features are:
21
- high speed, low fabric utilization
22
- vendor-independent Verilog output with a VHDL package file
23
- simple Forth-like assembly language (41 instructions)
24
- single cycle instruction execution
25
- automatic generation of I/O ports
26
- configurable instruction, data stack, return stack, and memory utilization
27
- extensible set of peripherals (I2C busses, UARTs, AXI4-Lite busses, etc.)
28
- extensible set of macros
29
- memory initialization file to facilitate code development without rebuilds
30
- simulation diagnostics to facilitate identifying code errors
31
- conditionally included I/Os and peripherals, functions, and assembly code
32
 
33 2 sinclairrf
SSBCC has been used for the following projects:
34
- operate a media translator from a parallel camera interface to an OMAP GPMC
35
  interface, detect and report bus errors and hardware errors, and act as an
36
  SPI slave to the OMAP
37
- operate two UART interfaces and multiple PWM controlled 2-lead bi-color LEDs
38
- operate and monitor the Artix-7 fabric in a Zynq system using AXI4-Lite
39
  master and slave buses, I2C buses for timing-critical voltage measurements
40
 
41 4 sinclairrf
The only external tool required is Python 2.7.
42 2 sinclairrf
 
43 4 sinclairrf
 
44 2 sinclairrf
DESCRIPTION
45
================================================================================
46
 
47
The computer compiler uses an architectural description of the processor stating
48
the sizes of the instruction memory, data stack, and return stack; the input and
49
output ports; RAM and ROM types and sizes; and peripherals.
50
 
51
The instructions are all single-cycle.  The instructions include
52 4 sinclairrf
- 4 arithmetic instructions:  addition, subtraction, increment, and decrement
53
- 3 bit-wise logical instructions:  and, or, and exclusive or
54
- 7 shift and rotation instructions: <<0, <<1, 0>>, 1>>, <>msb, and >>lsb
55
- 4 logical instructions:  0=, 0<>, -1=, -1<>
56
- 6 Forth-like data stack instructions:  drop, dup, nip, over, push, swap
57
- 3 Forth-like return stack instructions:  >r, r>, r@
58
- 2 input and output
59
- 6 memory read and write with optional address post increment and post decrement
60
- 2 jump and conditional jump
61
- 2 call and conditional call
62
- 1 function return
63
- 1 nop
64 2 sinclairrf
 
65
The 9x8 address space is up to 8K.  This is achieved by pushing the 8 lsb of the
66
target address onto the data stack immediately before the jump or call
67
instruction and by encoding the 5 msb of the address within the jump or call
68
instruction.  The instruction immediately following a jump, call, or return is
69
executed before the instruction sequence at the destination address is executed
70
(this is illustrated later).
71
 
72
Up to four banks of memory, either RAM or ROM, are available.  Each of these can
73
be up to 256 bytes long, providing a total of up to 1 kB of memory.
74
 
75 4 sinclairrf
The assembly language is Forth-like.  Built-in macros are used to encode the
76
jump and call instructions and to encode the 2-bit memory bank index in memory
77
store and fetch instructions.
78 2 sinclairrf
 
79
The computer compiler and assembler are written in Python 2.7.  Peripherals are
80
implemented by Python modules which generate the I/O ports and the peripheral
81
HDL.
82
 
83
The computer compiler is documented in the doc directory.  The 9x8 core is
84
documented in the core/9x8/doc directory.  Several examples are provided.
85
 
86
The computer compiler and assembler are fully functional and there are no known
87
bugs.
88
 
89
 
90
SPEED AND RESOURCE UTILIZATION
91
================================================================================
92
These device speed and resource utilization results are copied from the build
93
tests.  The full results are listed in core/9x8/build/uc/uc_led.9x8 which
94
represents a minimal processor implementation (clock, reset, and one output).
95
See the uc_peripherals.9x8 file for results for a more complicated
96
implementation.  Device-specific scripts state how these performance numbers
97
were obtained.
98
 
99
VENDOR          DEVICE          BEST SPEED      SMALLEST RESOURCE UTILIZATION
100
------          ------          ----------      -------------------------------
101
Altera          Cyclone-III     190.6 MHz       282 LEs           (preliminary)
102
Altera          Cyclone-IV      192.1 MHz       281 LEs           (preliminary)
103
Altera          Stratix-V       372.9 MHz       198 ALUTs         (preliminary)
104
Lattice         LCMXO2-640ZE-3   98.4 MHz       206 LUTs          (preliminary)
105
Lattice         LFE2-6E-7       157.9 MHz       203 LUTs          (preliminary)
106 6 sinclairrf
Xilinx          Artix-7         316.5 MHz       151 slice LUTs (41 slices)
107
Xilinx          Kintex-7        473.9 MHz       196 slice LUTs (55 slices)
108
Xilinx          Spartan-3A      148.3 MHz       231 4-input LUTs (130 slices)
109
Xilinx          Spartan-6       200.0 MHz       120 Slice LUTs (36 slices)
110
Xilinx          Virtex-6        275.7 MHz       122 Slice LUTs (38 slices) (p.)
111 2 sinclairrf
 
112
Disclaimer:  Like other embedded processors, these are the maximum performance
113
claims.  Realistic implementations will produce slower maximum clock rates,
114
particularly with lots of I/O ports and peripherals and with the constraint of
115
existing with other subsystems in the FPGA fabric.  What these performance
116
numbers do provide is an estimate of the amount of slack available.  For
117
example, you can't realistically expect to get 110 MHz from a processor that,
118 6 sinclairrf
under ideal conditions, places and routes at 125 MHz, but you can with a
119
processor that is demonstrated to place and route at 150 MHz.
120 2 sinclairrf
 
121
 
122
EXAMPLE:
123
================================================================================
124
 
125
The LED flasher example demonstrates the simplicity of the architectural
126
specification and the Forth-like assembly language.
127
 
128
The architecture file, named "led.9x8", with the comments and user header
129
removed, is as follows:
130
 
131
  ARCHITECTURE    core/9x8 Verilog
132
 
133
  INSTRUCTION     2048
134
  RETURN_STACK    32
135
  DATA_STACK      32
136
 
137
  PORTCOMMENT LED on/off signal
138
  OUTPORT 1-bit o_led O_LED
139
 
140
  ASSEMBLY led.s
141
 
142
The ARCHITECTURE configuration command specifies the 9x8 core and the Verilog
143
language.  The INSTRUCTION, RETURN_STACK, and DATA_STACK configuration commands
144
specify the sizes of the instruction space, return stack, and data stack.  The
145
content of the PORTCOMMENT configuration command is inserted in the module
146
declaration -- this facilitates identifying signals in micro controllers with a
147
lot of inputs and outputs.  The single OUTPORT statement specifies a 1-bit
148
signal named "o_led".  This signal is accessed in the assembly code through the
149
symbol "O_LED".  The ASSEMBLY command specifies the single input file "led.s,"
150
which is listed below.  The output module will be "led.v"
151
 
152
The "led.s" assembly file is as follows:
153
 
154
  ; Consume 256*5+4 clock cycles.
155
  ; ( - )
156
  .function pause
157
 
158
  .return
159
 
160
  ; Repeat "pause" 256 times.
161
  ; ( - )
162
  .function repause
163
 
164
  .return
165
 
166
  ; main program (as an infinite loop)
167
  .main
168
 
169
 
170
This example is coded in a traditional Forth structure with the conditional
171
jumps consuming the top of the data stack.  Examining the "pause" function, the
172
".function" directive specifies the start of a function and the function name.
173
The "0" instruction pushes the value "0" onto the top of the data stack.
174
":inner" is a label for a jump instruction.  The "1-" instruction decrements the
175
top of the data stack.  "dup" is the Forth instruction to push a duplicate of
176
the top of the data stack onto the data stack.  The ".jumpc(inner)" macro
177
expands to three instructions as follows:  (1) push the 8 lsb of the address at
178
"inner" onto the data stack, (2) the conditional jump instruction with the 5 msb
179
of the address of "inner" (the jumpc instruction also drops the top of the data
180
stack with its partial address), and (3) a "drop" instruction to drop the
181
duplicated loop count from the top of the data stack.  Finally, the "drop"
182
instruction drops the loop count from the top of the data stack and the
183
".return" macro generates the "return" instruction and a "nop" instruction.
184
 
185
The function "repause" calls the "pause" function 256 times.  The main program
186
body is identified by the directive ".main"  This function runs an infinite loop
187
that toggles the lsb of the LED output, outputs the LED setting, and calls the
188
"repause" function.
189
 
190
A tighter version of the loop in the "pause" function can be written as
191
 
192
  ; Consume 256*3+3 clock cycles.
193
  ; ( - )
194
  .function pause
195
    0xFF :inner .jumpc(inner,1-) .return(drop)
196
 
197
which is 3 cycles long for each iteration, the "drop" that is normally part
198
of the ".jumpc" macro has been replaced by the decrement instruction, and the
199
final "drop" instruction has replaced the default "nop" instruction that is
200
normally part of the ".return" macro.  Note that the decrement is performed
201
after the non-zero comparison in the "jumpc" instruction.
202
 
203
A version of the "pause" function that consumes exactly 1000 clock cycles is:
204
 
205
  .function pause
206
    ${(1000-4)/4-1} :inner nop .jumpc(inner,1-) drop .return
207
 
208
The instruction memory initialization for the processor module includes the
209
instruction mnemonics being performed at each address and replaces the "list"
210
file output from traditional assemblers.  The following is the memory
211
initialization for this LED flasher example.  The main program always starts at
212
address zero and functions are included in the order encountered.  Unused
213
library functions are not included in the generated instruction list.
214
 
215
  reg [8:0] s_opcodeMemory[2047:0];
216
  initial begin
217
    // .main
218
    s_opcodeMemory['h000] = 9'h100; // 0x00
219
    s_opcodeMemory['h001] = 9'h101; // :inner 0x01
220
    s_opcodeMemory['h002] = 9'h052; // ^
221
    s_opcodeMemory['h003] = 9'h008; // dup
222
    s_opcodeMemory['h004] = 9'h100; // O_LED
223
    s_opcodeMemory['h005] = 9'h038; // outport
224
    s_opcodeMemory['h006] = 9'h054; // drop
225
    s_opcodeMemory['h007] = 9'h10D; //
226
    s_opcodeMemory['h008] = 9'h0C0; // call repause
227
    s_opcodeMemory['h009] = 9'h000; // nop
228
    s_opcodeMemory['h00A] = 9'h101; //
229
    s_opcodeMemory['h00B] = 9'h080; // jump inner
230
    s_opcodeMemory['h00C] = 9'h000; // nop
231
    // repause
232
    s_opcodeMemory['h00D] = 9'h100; // 0x00
233
    s_opcodeMemory['h00E] = 9'h119; // :inner
234
    s_opcodeMemory['h00F] = 9'h0C0; // call pause
235
    s_opcodeMemory['h010] = 9'h000; // nop
236
    s_opcodeMemory['h011] = 9'h05C; // 1-
237
    s_opcodeMemory['h012] = 9'h008; // dup
238
    s_opcodeMemory['h013] = 9'h10E; //
239
    s_opcodeMemory['h014] = 9'h0A0; // jumpc inner
240
    s_opcodeMemory['h015] = 9'h054; // drop
241
    s_opcodeMemory['h016] = 9'h054; // drop
242
    s_opcodeMemory['h017] = 9'h028; // return
243
    s_opcodeMemory['h018] = 9'h000; // nop
244
    // pause
245
    s_opcodeMemory['h019] = 9'h100; // 0x00
246
    s_opcodeMemory['h01A] = 9'h05C; // :inner 1-
247
    s_opcodeMemory['h01B] = 9'h008; // dup
248
    s_opcodeMemory['h01C] = 9'h11A; //
249
    s_opcodeMemory['h01D] = 9'h0A0; // jumpc inner
250
    s_opcodeMemory['h01E] = 9'h054; // drop
251
    s_opcodeMemory['h01F] = 9'h054; // drop
252
    s_opcodeMemory['h020] = 9'h028; // return
253
    s_opcodeMemory['h021] = 9'h000; // nop
254
    s_opcodeMemory['h022] = 9'h000;
255
    s_opcodeMemory['h023] = 9'h000;
256
    s_opcodeMemory['h024] = 9'h000;
257
    ...
258
    s_opcodeMemory['h7FF] = 9'h000;
259
  end
260
 
261
 
262
DATA and STRINGS
263
================================================================================
264
 
265
Values are pushed onto the data stack by stating the value.  For example,
266
 
267
  0x10 0x20 'x'
268
 
269
will successively push the values 0x10, 0x20, and the character 'x' onto the
270
data stack.  The character 'x' will be at the top of the data stack after these
271
3 instructions.
272
 
273 5 sinclairrf
Numeric values can be represented in binary, octal, decimal, and hex.  Binary
274
values start with the two characters "0b" followed by a sequence of binary
275
digits; octal numbers start with a "0" followed by a sequence of octal digits;
276
decimal values can start with a "+" or "-" have a non-zero first digit and have
277
zero or more decimal digits; and hex values start with the two characters "0X"
278
followed by a sequence of hex digits.
279 2 sinclairrf
 
280 5 sinclairrf
Examples of equivalent numeric values are:
281
  binary:   0b01  0b10010
282
  octal:    01    022
283
  decimal:  1     18
284
  hex:      0x1   0x12
285
 
286
See the COMPUTED VALUES section for using computed values in the assembler.
287
 
288 2 sinclairrf
There are four ways to specify strings in the assembler.  Simply stating the
289
string
290
 
291
  "Hello World!"
292
 
293
puts the characters in the string onto the data stack with the letter 'H' at the
294
top of the data stack.  I.e., the individual push operations are
295
 
296
  '!' 'd' 'l' ... 'e' 'H'
297
 
298
Prepending a 'N' before the double quote, like
299
 
300
  N"Hello World!"
301
 
302
puts a null-terminated string onto the data stack.  I.e., the value under the
303
'!' will be a 0x00 and the instruction sequence would be
304
 
305
  0x0 '!' 'd' 'l' ... 'e' 'H'
306
 
307
Forth uses counted strings, which are specified here as
308
 
309
  C"Hello World!"
310
 
311 4 sinclairrf
In this case the number of characters, 12, in the string is pushed onto the data
312
stack after the 'H', i.e., the instruction sequence would be
313 2 sinclairrf
 
314
  '!' 'd' 'l' ... 'e' 'H' 12
315
 
316
Finally, a lesser-counted string specified like
317
 
318
  c"Hello World!"
319
 
320
is similar to the Forth-like counted string except that the value pushed onto
321
the data stack is one less than the number of characters in the string.  Here
322
the value pushed onto the data stack after the 'H' would be 11 instead of 12.
323
 
324
Simple strings are useful for constructing more complex strings in conjunction
325
with other string functions.   For example, to transmit the hex values of the
326
top 2 values in the data stack, do something like:
327
 
328
  ; move the top 2 values to the return stack
329
  >r >r
330
  ; push the tail of the message onto the data stack
331
  N"\n\r"
332
  ; convert the 2 values to 2-digit hex values, LSB deepest in the stack
333
  r> .call(string_byte_to_hex)
334
  r> .call(string_byte_to_hex)
335
  ; pre-pend the identification message
336
  "Message:  "
337
  ; transmit the string, using the null terminator to terminate the loop
338
  :loop_transmit .outport(O_UART_TX) .jumpc(loop_transmit,nop) drop
339
 
340
A lesser-counted string would be used like:
341
 
342
  c"Status Message\r\n"
343
  :loop_msg swap .outport(O_UART_TX) .jumpc(loop_msg,1-) drop
344
 
345
These four string formats can also be used for variable definitions.  For
346
example 3 variables could be allocated and initialized as follows:
347
 
348
  .memory ROM myrom
349
  .variable fred N"fred"
350
  .variable joe  c"joe"
351
  .variable moe  "moe"
352
 
353
These are equivalent to
354
 
355
  .variable fred 'f' 'r' 'e' 'd'  0
356
  .variable joe   2  'j' 'o' 'e'
357
  .variable moe  'm' 'o' 'e'
358
 
359
with 5 bytes allocated for the variable fred, 4 bytes for joe, and 3 bytes for
360
moe.
361
 
362
The following escaped characters are recognized:
363
 
364
  '\0'     null character
365
  '\a'     bell
366
  '\b'     backspace
367
  '\f'     form feed
368
  '\n'     line feed
369
  '\r'     carriage return
370
  '\t'     horizontal tab
371
  "\0ooo"  3-digit octal value
372
  "\xXX"   2-digit hex value where X is one of 0-9, a-f, or A-F
373
  "\Xxx"   alternate form for 2-digit hex value
374
  "\\"     backslash character
375
 
376
Unrecognized escaped characters are simple treated as that character.  For
377
example, '\m' is treated as the single character 'm' and '\'' is treated as the
378
single quote character.
379
 
380
 
381
INSTRUCTIONS
382
================================================================================
383
 
384
The 41 instructions are as follows (see core/9x8/doc/opcodes.html for detailed
385
descriptions).  Here, T is the top of the data stack, N is the next-to-top of
386
the data stack, and R is the top of the return stack.  All of these are the
387
values at the start of the instruction.
388
 
389
The nop instruction does nothing:
390
 
391
  nop           no operation
392
 
393
Mathematical operations drop one value from the data stack and replace the new
394
top with the state value:
395
 
396
  &             bitwise and of N and T
397
  +             N + T
398
  -             N - T
399
  ^             bitwise exclusive or of N and T
400
  or            bitwise or of N and T
401
 
402
Increment and decrement replace the top of the data stack with the stated
403
result.
404
 
405
  1+            replace T with T+1
406
  1-            replace T with T-1
407
 
408
Comparison operations replace the top of the data stack with the results of the
409
comparison:
410
 
411
  -1<>          replace T with -1 if T != -1, otherwise set T to 0
412
  -1=           replace T with 0 if T != -1, otherwise leave T as -1
413
  0<>           replace T with -1 if T != 0, otherwise leave T as 0
414
  0=            replace T with -1 if T == 0, otherwise set T to 0
415
 
416
Shift/rotate operations replace the top of the data with with the result of the
417
specified shift/rotate.
418
 
419
  0>>           shift T right one bit and set the msb to 0
420
  1>>           shift T right 1 bit and set the msb to 1
421
  <<0           shift T left 1 bit and set the lsb to 0
422
  <<1           shift T left 1 bit and set the lsb to 1
423
  <
424
  lsb>>         rotate T right 1 bit
425
  msb>>         shift T right 1 bit and set the msb to the old msb
426
 
427
Note:  There is no "<
428
 
429
Stack manipulation instructions are as follows:
430
 
431
  >r            pushd T onto the return stack and drop T from the data stack
432
  drop          drop T from the data stack
433
  dup           push T onto the data stack
434
  nip           drop N from the data stack
435
  over          push N onto the data stack
436
  push          push a single byte onto the data stack, see the preceding DATA
437
                and STRINGS section
438
  r>            push R onto the data stack and drop R from the return stack
439
  r@            push R onto the data stack
440
  swap          swap N and T
441
 
442
Jump and call and their conditional variants are as follows and must use the
443
associated macro:
444
 
445
  call          call instruction -- use the .call macro
446
  callc         conditional call instruction -- use the .callc macro
447
  jump          jump instruction -- use the .jump macro
448
  jumpc         conditional jump instruction -- use the .jumpc macro
449
  return        return instruction -- use the .return macro
450
 
451
See the MEMORY section for details for these memory operations.  T is the
452
address for the instructions, N is the value stored.  Chained fetches insert the
453
value below T.  Chained stores drop N.
454
 
455
  fetch         memory fetch, replace T with the value fetched
456
  fetch+        chained memory fetch, retain and increment the address
457
  fetch-        chained memory fetch, retain and decrement the address
458
  store         memory store, drop T (N is the next value of T)
459
  store+        chained memory store, retain and increment the address
460
  store-        chained memory store, retain and decrement the address
461
 
462
See the INPORT and OUTPORT section for details for the input and output port
463
operations:
464
 
465
  inport        input port operation
466
  outport       output port operation
467
 
468
The .call, .callc, .jump, and .jumpc macros encode the 3 instructions required
469
to perform a call or jump along with the subsequent instructions.  The default
470
third instructions is "nop" for .call and .jump and it is "drop" for .callc and
471
.jumpc.  The default can be changed by specifying the optional second argument.
472
The .call and .callc macros must specify a function identified by the .function
473
directive and the .jump and .jumpc macros must specify a label.
474
 
475
The .function directive takes the name of the function and the function body.
476
Function bodies must end with a .return or a .jump macro.  The .main directive
477
defines the body of the main function, i.e., the function at which the processor
478
starts.
479
 
480
The .include directive is used to read additional assembly code.  You can, for
481
example, put the main function in uc.s, define constants and such in consts.s,
482
define the memories and variables in ram.s, and include UART utilities in
483
uart.s.  These files could be included in uc.s through the following lines:
484
 
485
  .include consts.s
486
  .include myram.s
487
  .include uart.s
488
 
489
The assembler only includes functions that can be reached from the main
490
function.  Unused functions will not consume instruction space.
491
 
492
 
493
INPORT and OUTPORT
494
================================================================================
495
 
496
The INPORT and OUTPORT configuration commands are used to specify 2-state inputs
497
and outputs.  For example
498
 
499
  INPORT 8-bit i_value I_VALUE
500
 
501
specifies a single 8-bit input signal named "i_value" for the module.  The port
502
is accessed in assembly by ".inport(I_VALUE)" which is equivalent to the
503
two-instruction sequence "I_VALUE inport".  To input an 8-bit value from a FIFO
504
and send a single-clock-cycle wide acknowledgment strobe, use
505
 
506
  INPORT 8-bit,strobe i_fifo,o_fifo_ack I_FIFO
507
 
508
The assembly ".inport(I_FIFO)" will automatically send an acknowledgment strobe
509
to the FIFO through "o_fifo_ack".
510
 
511
A write port to an 8-bit FIFO is similarly specified by
512
 
513
  OUTPORT 8-bit,strobe o_fifo,o_fifo_wr O_FIFO
514
 
515
The assembly ".outport(O_FIFO)" which is equivalent to "O_FIFO outport drop"
516
will automatically send a write strobe to the FIFO through "o_fifo_wr".
517
 
518
Multiple signals can be packed into a single input or output port by defining
519
them in comma separated lists.  The associated bit masks can be defined
520
coincident with the port definition as follows:
521
 
522
  INPUT 1-bit,1-bit i_fifo_full,i_fifo_empty I_FIFO_STATUS
523
  CONSTANT C_FIFO_STATUS__FULL  0x02
524
  CONSTANT C_FIFO_STATUS__EMPTY 0x01
525
 
526
Checking the "full" status of the FIFO can be done by the following assembly
527
sequence:
528
 
529
  .inport(I_FIFO_STATUS) C_FIFO_STATUS__FULL &
530
 
531
Multiple bits can be masked using a computed value as follows (see below for
532
more details):
533
 
534
  .inport(I_FIFO_STATUS) ${C_FIFO_STATUS__FULL|C_FIFO_STATUS__EMPTY} &
535
 
536
The "${...}" creates an instruction to push the 8-bit value in the braces onto
537
the data stack.  The computation is performed using the Python "eval" function
538
in the context of the program constants, memory addresses, and memory sizes.
539
 
540
Preceding all of these by
541
 
542
  PORTCOMMENT external FIFO
543
 
544
produces the following in the Verilog module statement.  The I/O ports are
545
listed in the order in which they are declared.
546
 
547
  // external FIFO
548
  input  wire       [7:0] i_fifo,
549
  output reg              o_fifo_ack,
550
  output reg        [7:0] o_fifo,
551
  output reg              o_fifo_wr,
552
  input  wire             i_fifo_full,
553
  input  wire             i_fifo_empty
554
 
555
The HDL to implement the inputs and outputs is computer generated.  Identifying
556
the port name in the architecture file eliminates the possibility of
557
inconsistent port numbers between the HDL and the assembly.  Specifying the bit
558
mapping for the assembly code immediately after the port definition helps
559
prevent inconsistencies between the port definition and the bit mapping in the
560
assembly code.
561
 
562
The normal initial value for an outport is zero.  This can be changed by
563
including an optional initial value as follows.  This initial value will be
564
applied on system startup and when the micro controller is reset.
565
 
566
  OUTPORT 4-bit=4'hA o_signal O_SIGNAL
567
 
568
An isolated output strobe can also be created using:
569
 
570
  OUTPORT strobe o_strobe O_STROBE
571
 
572
The assembly ".outstrobe(O_STROBE)" which is equivalent to "O_STROBE outport"
573
is used to generate the strobe.  Since "O_STROBE" is a strobe-only outport, the
574
".outport" macro cannot be used with it.  Similarly, attempting to use the
575
".outstrobe" macro will generate an error if it is invoked with an outport
576
that does have data.
577
 
578
A single-bit "set-reset" input port type is also included.  This sets a register
579
when an external strobe is received and clears the register when the port is
580
read.  For example, to capture an external timer for a polled-loop, include the
581
following in the architecture file:
582
 
583
  PORTCOMMENT external timer
584
  INPORT set-reset i_timer I_TIMER
585
 
586
The following is the assembly code to conditionally call two functions when the
587
timer event is encountered:
588
 
589
  .inport(I_TIMER)
590
    .callc(timer_event_1,nop)
591
    .callc(timer_event_2)
592
 
593
The "nop" in the first conditional call prevents the conditional from being
594
dropped from the data stack so that it can be used by the subsequent conditional
595
function call.
596
 
597
 
598
PERIPHERAL
599
================================================================================
600
 
601
Peripherals are implemented via Python modules.  For example, an open drain I/O
602
signal, such as is required for an I2C bus, does not fit the INPORT and OUTPORT
603
functionality.  Instead, an "open_drain" peripheral is provided by the Python
604
script in "core/9x8/peripherals/open_drain.py".  This puts a tri-state I/O in
605
the module statement, allows it to be read through an "inport" instruction, and
606
allows it to be set low or released through an "outport" instruction.  An I2C
607
bus with separate SCL and SDA ports can then be incorporated into the processor
608
as follows:
609
 
610
  PORTCOMMENT     I2C bus
611
  PERIPHERAL      open_drain      inport=I_SCL \
612
                                  outport=O_SCL \
613
                                  iosignal=io_scl
614
  PERIPHERAL      open_drain      inport=I_SDA \
615
                                  outport=O_SDA \
616
                                  iosignal=io_sda
617
 
618
The default width for this peripheral is 1 bit.  The module statement will then
619
include the lines
620
 
621
  // I2C bus
622
  inout  wire     io_scl,
623
  inout  wire     io_sda
624
 
625
The assembly code to set the io_scl signal low is "0 .outport(O_SCL)" and to
626
release it is "1 .outport(O_SCL)".  These instruction sequences are actually
627
"0 O_SCL outport drop" and "1 O_SCL outport drop" respectively.  The "outport"
628
instruction drops the top of the data stack (which contained the port number)
629
and sends the next-to-the-top of the data stack to the designated output port.
630
 
631
Two examples of I2C device operation are included in the examples directory.
632
 
633
The following peripherals are provided:
634
  adder_16bit   16-bit adder/subtractor
635
  AXI4_Lite_Master
636
                32-bit read/write AXI4-Lite Master
637
                Note:  The synchronous version has been tested on hardware.
638
  AXI4_Lite_Slave_DualPortRAM
639
                dual-port-RAM interface for the micro controller to act as an
640
                AXI4-Lite slave
641
  big_inport    shift reads from a single INPORT to construct a wide input
642
  big_outport   shift writes to a single OUTPORT to construct a wide output
643
  counter       counter for number of received high cycles from signal
644
  inFIFO_async  input FIFO with an asynchronous write clock
645
  latch         latch wide inputs for sampling
646
  monitor_stack simulation diagnostic (see below)
647
  open_drain    for software-implemented I2C buses or similar
648
  outFIFO_async output FIFO with an asynchronous read clock
649
  PWM_8bit      PWM generator with an 8-bit control
650
  timer         timing for polled loops or similar
651
  trace         simulation diagnostic (see below)
652
  UART          bidirectional UART
653
  UART_Rx       receive UART
654
  UART_Tx       transmit UART
655 3 sinclairrf
  wide_strobe   1 to 8 bit strobe generator
656 2 sinclairrf
 
657
The following command illustrates how to display the help message for
658
peripherals:
659
 
660
  echo "ARCHITECTURE core/9x8 Verilog" | ssbcc -P "big_inport help" - | less
661
 
662
User defined peripherals can be in the same directory as the architecture file
663
or a subdirectory named "peripherals".
664
 
665
 
666
PARAMETER and LOCALPARAM
667
================================================================================
668
 
669
Parameters are incorporated through the PARAMETER and LOCALPARAM configuration
670
commands.  For example, the clock frequency in hertz is needed for UARTs for
671
their baud rate generator.  The configuration command
672
 
673
  PARAMETER G_CLK_FREQ_HZ 97_000_000
674
 
675
specifies the clock frequency as 97 MHz.  The HDL instantiating the processor
676
can change this specification.  The frequency can also be changed through the
677
command-line invocation of the computer compiler.  For example,
678
 
679
  ssbcc -G "G_CLK_FREQ_HZ=100_000_000" myprogram.9x8
680
 
681
specifies that a frequency of 100 MHz be used instead of the default frequency
682
of 97 MHz.
683
 
684
The LOCALPARAM configuration command can be used to specify parameters that
685
should not be changed by the surrounding HDL.  For example,
686
 
687
  LOCALPARAM L_VERSION 24'h00_00_00
688
 
689
specifies a 24-bit parameter named "L_VERSION".  The 8-bit major, minor, and
690
build sections of the parameter can be accessed in an assembly program using
691
"L_VERSION[16+:8]", "L_VERSION[8+:8]", and "L_VERSION[0+:8]".
692
 
693
For both parameters and localparams, the default range is "[0+:8]".  The
694
instruction memory is initialized using the parameter value during synthesis,
695
not the value used to initialize the parameter.  That is, the instruction memory
696
initialization will be:
697
 
698
  s_opcodeMemory[...] = { 1'b1, L_VERSION[16+:8] };
699
 
700
The value of the localparam can be set when the computer compiler is run using
701
the "-G" option.  For example,
702
 
703
  ssbcc -G "L_VERSION=24'h01_04_03" myprogram.9x8
704
 
705
can be used in a makefile to set the version number for a release without
706
modifying the micro controller architecture file.
707
 
708
 
709
DIAGNOSTICS AND DEBUGGING
710
================================================================================
711
 
712
A 3-character, human readable version of the opcode can be included in
713
simulation waveform outputs by adding "--display-opcode" to the ssbcc command.
714
 
715
The stack health can be monitored during simulation by including the
716
"monitor_stack" peripheral through the command line.  For example, the LED
717
flasher example can be generated using
718
 
719
  ssbcc -P monitor_stack led.9x8
720
 
721
This allows the architecture file to be unchanged between simulation and an FPGA
722
build.
723
 
724
Stack errors include underflow and overflow, malformed data validity, and
725
incorrect use of the values on the return stack (returns to data values and data
726
operations on return addresses).  Other errors include out-of-range for memory,
727
inport, and outport operations.
728
 
729
When stack errors are detected the last 50 instructions are dumped to the
730
console and the simulation terminates.  The dump includes the PC, numeric
731
opcode, textual representation of the opcode, data stack pointer, next-to-top of
732
the data stack, top of the data stack, top of the return stack, and the return
733
stack pointer.  Invalid stack values are displayed as "XX".  The length of the
734
history dumped is configurable.
735
 
736
Out-of-range PC checks are also performed if the instruction space is not a
737
power of 2.
738
 
739
A "trace" peripheral is also provided that dumps the entire execution history.
740
This was used to validate the processor core.
741
 
742
 
743
MEMORY ARCHITECTURE
744
================================================================================
745
 
746
The DATA_STACK, RETURN_STACK, INSTRUCTION, and MEMORY configuration commands
747
allocate memory for the data stack, return stack, instruction ROM, and memory
748
RAM and ROM respectively.  The data stack, return stack, and memories are
749
normally instantiated as dual-port LUT-based memories with asynchronous reads
750
while the instruction memory is always instantiated with a synchronous read
751
architecture.
752
 
753
The COMBINE configuration command is used to coalesce memories and to convert
754
LUT-based memories to synchronous SRAM-based memories.  For example, the large
755
SRAMs in modern FPGAs are ideal for storing the instruction opcodes and their
756
dual-ported access allows either the data stack or the return stack to be
757
stored in a relatively small region at the end of the large instruction memory.
758
Memories, which required dual-ported operation, can also be instantiated in
759
large RAMs either individually or in combination with each other.  Conversion
760
to SRAM-based memories is also useful for FPGA architectures that do not have
761
efficient LUT-based memories.
762
 
763
The INSTRUCTION configuration allocates memory for the processor instruction
764
space.  It has the form "INSTRUCTION N" or "INSTRUCTION N*M" where N must be a
765
power of 2.  The first form is used if the desired instruction memory size is a
766
power of 2.  The second form is used to allocate M memory blocks of size N
767
where M is not a power of 2.  For example, on an Altera Cyclone III, the
768
configuration command "INSTRUCTION 1024*3" allocates three M9Ks for the
769
instruction space, saving one M9K as compared to the configuration command
770
"INSTRUCTION 4096".
771
 
772
The DATA_STACK configuration command allocates memory for the data stack.  It
773
has the form "DATA_STACK N" where N is the commanded size of the data stack.
774
N must be a power of 2.
775
 
776
The RETURN_STACK configuration command allocates memory for the return stack and
777
has the same format as the DATA_STACK configuration command.
778
 
779
The MEMORY configuration command is used to define one to four memories, either
780
RAM or ROM, with up to 256 bytes each.  If no MEMORY configuration command is
781
issued, then no memories are allocated for the processor.  The MEMORY
782
configuration command has the format "MEMORY {RAM|ROM} name N" where
783
"{RAM|ROM}" specifies either a RAM or a ROM, name is the name of the memory and
784
must start with an alphabetic character, and the size of the memory, N, must be
785
a power of 2.  For example, "MEMORY RAM myram 64" allocates 64 bytes of memory
786
to form a RAM named myram.  Similarly, "MEMORY ROM lut 256" defines a 256 byte
787
ROM named lut.  More details on using memories is provided in the next section.
788
 
789
The COMBINE configuration command can be used to combine the various memories
790
for more efficient processor implementation as follows:
791
 
792
  COMBINE INSTRUCTION,
793
  COMBINE 
794
  COMBINE ,
795
  COMBINE 
796
 
797
where  is one of DATA_STACK, RETURN_STACK, or a list of one
798
or more ROMs and  is a list of one or more RAMs and/or ROMs.  The first
799
configuration command reserves space at the end of the instruction memory for
800
the DATA_STACK, RETURN_STACK, or listed ROMs.
801
 
802
The SRAM_WIDTH configuration command is used to make the memory allocations more
803
efficient when the SRAM block width is more than 9 bits.  For example,
804
Altera's Cyclone V family has 10-bit wide memory blocks and the configuration
805
command "SRAM_WIDTH 10" is appropriate.  The configuration command
806
sequence
807
 
808
  INSTRUCTION     1024
809
  RETURN_STACK    32
810
  SRAM_WIDTH      10
811
  COMBINE         INSTRUCTION,RETURN_STACK
812
 
813
will use a single 10-bit memory entry for each element of the return stack
814
instead of packing the 10-bit values into two memory entries of a 9-bit wide
815
memory.
816
 
817
The following illustrates a possible configuration for a Spartan-6 with a
818
2048-long SRAM and relatively large 64-deep data stack.  The data stack will be
819
in the last 64 elements of the instruction memory and the instruction space will
820
be reduced to 1984 words.
821
 
822
  INSTRUCTION   2048
823
  DATA_STACK    64
824
  COMBINE       INSTRUCTION,DATA_STACK
825
 
826
The following illustrates a possible configuration for a Cyclone-III with three
827
M9Ks for the instruction ROM and the data stack.
828
 
829
  INSTRUCTION   1024*3
830
  DATA_STACK    64
831
  COMBINE       INSTRUCTION,DATA_STACK
832
 
833
WARNING:  Some devices, such as Xilinx' Spartan-3A devices, do not support
834
asynchronous reads, so the COMBINE configuration command does not work for them.
835
 
836
WARNING:  Xilinx XST does not correctly infer a Block RAM when the
837
"COMBINE INSTRUCTION,RETURN_STACK" configuration command is used and the
838
instruction space is 1024 instructions or larger.  Xilinx is supposed to fix
839
this in a future release of Vivado so the fix will only apply to 7-series or
840
later FPGAs.
841
 
842
 
843
MEMORY
844
================================================================================
845
 
846
The MEMORY configuration command is used as follows to allocate a 128-byte RAM
847
named "myram" and to allocate a 32-byte ROM named "myrom".  Zero to four
848
memories can be allocated, each with up to 256 bytes.
849
 
850
  MEMORY RAM myram 128
851
  MEMORY ROM myrom  32
852
 
853
The assembly code to lay out the memory uses the ".memory" directive to identify
854
the memory and the ".variable" directive to identify the symbol and its content.
855
Single or multiple values can be listed and "*N" can be used to identify a
856
repeat count.
857
 
858
  .memory RAM myram
859
  .variable a 0
860
  .variable b 0
861
  .variable c 0 0 0 0
862
  .variable d 0*4
863
 
864
  .memory ROM myrom
865
  .variable coeff_table 0x04
866
                        0x08
867
                        0x10
868
                        0x20
869
  .variable hello_world N"Hello World!\r\n"
870
 
871
Single values are fetched from or stored to memory using the following assembly:
872
 
873
  .fetchvalue(a)
874
  0x12 .storevalue(b)
875
 
876
Multi-byte values are fetched or stored as follows.  This copies the four values
877
from coeff_table, which is stored in a ROM, to d.
878
 
879
  .fetchvector(coeff_table,4) .storevector(d,4)
880
 
881
The memory size is available using computed values (see below) and can be used
882
to clear the entire memory, etc.
883
 
884
The available single-cycle memory operation macros are:
885
  .fetch(mem_name)      replaces T with the value at the address T in the memory
886
                        mem_name
887 5 sinclairrf
                        Note:  .fetchram(var_name) is safer.
888 2 sinclairrf
  .fetch+(mem_name)     pushes the value at address T in the memory mem_name
889
                        into the data stack below T and increments T
890
                        Note:  This is useful for fetching successive values
891
                               from memory into the data stack.
892 5 sinclairrf
                        Note:  .fetchram+(var_name) is safer.
893 2 sinclairrf
  .fetch-(mem_name)     similar to .fetch+ but decrements T
894 5 sinclairrf
                        Note:  .fetchram-(var_name) is safer.
895 2 sinclairrf
  .store(ram_name)      stores N at address T in the RAM ram_name, also drops
896
                        the top of the data stack
897 5 sinclairrf
                        Note:  .storeram(var_name) is safer.
898 2 sinclairrf
  .store+(ram_name)     stores N at address T in the RAM ram_name, also drops N
899
                        from the data stack and increments T
900 5 sinclairrf
                        Note:  .storeram+(var_name) is safer.
901 2 sinclairrf
  .store-(ram_name)     similar to .store+ but decrements T
902 5 sinclairrf
                        Note:  .storeram-(var_name) is safer.
903 2 sinclairrf
 
904
The following multi-cycle macros provide more generalized access to the
905
memories:
906
  .fetchindexed(var_name)
907
                        uses the top of the data stack as an index into var_name
908
                        Note:  This is equivalent to the 3 instruction sequence
909
                               "var_name + .fetch(mem_name)"
910
  .fetchoffset(var_name,offset)
911
                        fetches the single-byte value of var_name offset by
912
                        "offset" bytes
913
                        Note:  This is equivalent to
914
                               "${var_name+offset} .fetch(mem_name)"
915 5 sinclairrf
  .fetchram(var_name)   is similar to the .fetch(mem_name) macro except that the
916
                        variable name is used to identify the memory instead of
917
                        the name of the memory
918
  .fetchram+(var_name)  is similar to the .fetch+(mem_name) macro except that
919
                        the variable name is used to identify the memory instead
920
                        of the name of the memory
921
  .fetchram-(var_name)  is similar to the .fetch-(mem_name) macro except that the
922
                        the variable name is used to identify the memory instead
923
                        of the name of the memory
924
  .fetchvalue(var_name) fetches the single-byte value of var_name
925
                        Note:  This is equivalent to "var_name .fetch(mem_name)"
926
                               where mem_name is the memory in which var_name is
927
                               stored.
928
  .fetchvalueoffset(var_name,offset)
929
                        fetches the single-byte value stored at var_name+offset
930
                        Note:  This is equivalent to
931
                               "${var_name+offset}" .fetch(mem_name)
932
                               where mem_name is the memory in which var_name is
933
                               stored.
934 2 sinclairrf
  .fetchvector(var_name,N)
935
                        fetches N values starting at var_name into the data
936
                        stack with the value at var_name at the top and the
937
                        value at var_name+N-1 deep in the stack.
938
                        Note:  This is equivalent N+1 operation sequence
939
                               "${var_name+N-1} .fetch-(mem_name) ...
940
                               .fetch-(mem_name) .fetch(mem_name)"
941
                               where ".fetch-(mem_name)" is repeated N-1 times.
942
  .storeindexed(var_name)
943
                        uses the top of the data stack as an index into var_name
944
                        into which to store the next-to-top of the data stack.
945
                        Note:  This is equivalent to the 4 instruction sequence
946
                               "var_name + .store(mem_name) drop".
947
                        Note:  The default "drop" instruction can be overriden
948
                               by providing the optional second argument
949
                               similarly to the .storevalue macro.
950
  .storeoffset(var_name,offset)
951
                        stores the single-byte value at the top of the data
952
                        stack at var_name offset by "offset" bytes
953
                        Note:  This is equivalent to
954
                               "${var_name+offset} .store(mem_name) drop"
955
                        Note:  The optional third argument is as per the
956
                               optional second argument of .storevalue
957 5 sinclairrf
  .storeram(var_name)   is similar to the .store(mem_name) macro except that the
958
                        variable name is used to identify the RAM instead of the
959
                        name of the RAM
960
  .storeram+(var_name)  is similar to the .store+(mem_name) macro except that
961
                        the variable name is used to identify the RAM instead of
962
                        the name of the RAM
963
  .storeram-(var_name)  is similar to the .store-(mem_name) macro except that
964
                        the variable name is used to identify the RAM instead of
965
                        the name of the RAM
966
  .storevalue(var_name) stores the single-byte value at the top of the data
967
                        stack at var_name
968
                        Note:  This is equivalent to
969
                               "var_name .store(mem_name) drop"
970
                        Note:  The default "drop" instruction can be replaced by
971
                               providing the optional second argument.  For
972
                               example, the following instruction will store and
973
                               then decrement the value at the top of the data
974
                               stack:
975
                                 .storevalue(var_name,1-)
976 2 sinclairrf
  .storevector(var_name,N)
977
                        Does the reverse of the .fetchvector macro.
978
                        Note:  This is equivalent to the N+2 operation sequence
979
                               "var_name .store+(mem_name) ... .store+(mem_name)
980
                               .store(mem_name) drop"
981
                               where ".store+(mem_name)" is repeated N-1 times.
982
 
983
The .fetchvector and .storevector macros are intended to work with values stored
984
MSB first in memory and with the MSB toward the top of the data stack,
985
similarly to the Forth language with multi-word values.  To demonstrate how
986
this data structure works, consider the examples of decrementing and
987
incrementing a two-byte value on the data stack:
988
 
989
  ; Decrement a 2-byte value
990
  ;   swap 1- swap      - decrement the LSB
991
  ;   over -1=          - puts -1 on the top of the data stack if the LSB rolled
992
  ;                       over from 0 to -1, puts 0 on the top otherwise
993
  ;   +                 - decrements the MSB if the LSB rolled over
994
  ; ( u_LSB u_MSB - u_LSB' u_MSB' )
995
  .function decrement_2byte
996
  swap 1- swap over -1= .return(+)
997
 
998
  ; Increment a 2-byte value
999
  ;   swap 1+ swap      - increment the LSB
1000
  ;   over 0=           - puts -1 on the top of the data stack if the LSB rolled
1001
  ;                       over from 0xFF to 0, puts 0 on the top otherwise
1002
  ;   -                 - increments the MSB if the LSB rolled over (by
1003
  ;                       subtracting -1)
1004
  ; ( u_LSB u_MSB - u_LSB' u_MSB' )
1005
  .function increment_2byte
1006
  swap 1+ swap over 0= .return(-)
1007
 
1008
 
1009
COMPUTED VALUES
1010
================================================================================
1011
 
1012
Computed values can be pushed on the stack using a "${...}" where the "..." is
1013
evaluated in Python and cannot have any spaces.
1014
 
1015
For example, a loop that should be run 5 times can be coded as:
1016
 
1017
  ${5-1} :loop ... .jumpc(loop,1-) drop
1018
 
1019
which is a clearer indication that the loop is to be run 5 times than is the
1020
instruction sequence
1021
 
1022
  4 :loop ...
1023
 
1024
Constants can be accessed in the computation.  For example, a block of memory
1025
can be allocated as follows:
1026
 
1027
  .constant C_RESERVE
1028
  .memory RAM myram
1029
  ...
1030
  .variable reserved 0*${C_RESERVE}
1031
 
1032
and the block of reserved memory can be cleared using the following loop:
1033
 
1034
  ${C_RESERVE-1} :loop 0 over .storeindexed(reserved) .jumpc(loop,1-) drop
1035
 
1036
The offsets of variables in their memory can also be accessed through a computed
1037
value.  The value of reserved could also be cleared as follows:
1038
 
1039
  ${reserved-1} ${C_RESERVE-1} :loop >r
1040
 
1041
  r> .jumpc(loop,-1) drop drop
1042
 
1043
This body of this version of the loop is the same length as the first version.
1044
In general, it is better to use the memory macros to access variables as they
1045
ensure the correct memory is accessed.
1046
 
1047
The sizes of memories can also be accessed using computed values.  If "myram" is
1048
a RAM, then "${size['myram']}" will push the size of "myram" on the stack.  As
1049
an example, the following code will clear the entire RAM:
1050
 
1051
  ${size['myram']-1} :loop 0 swap .jumpc(loop,.store-(myram)) drop
1052
 
1053
The lengths of I/O signals can also be accessed using computed values.  If
1054
"o_mask" is a mask, then "${size['o_mask']}" will push the size of the mask on
1055
the stack and "${2**size['o_mask']-1}" will push a value that sets all the bits
1056
of the mask.  The I/O signals include I/O signals instantiated by peripherals.
1057
For example, for the configuration command
1058
 
1059
  PERIPHERAL big_outport outport=O_BIG outsignal=o_big width=47
1060
 
1061
the width of the output signal is accessible using "${size['o_big']}".  You can
1062
set the wide signal to all zeroes using:
1063
 
1064
  ${(size['o_big']+7)/8-1} :loop 0 .outport(O_BIG) .jumpc(loop,1-) drop
1065
 
1066 3 sinclairrf
 
1067
MACROS
1068
================================================================================
1069
There are 3 types of macros used by the assembler.
1070
 
1071
The first kind of macros are built in to the assembler and are required to
1072
encode instructions that have embedded values or have mandatory subsequent
1073
instructions.  These include function calls, jump instructions, function return,
1074
and memory accesses as follows:
1075
  .call(function,[op])
1076
  .callc(function,[op])
1077
  .fetch(ramName)
1078
  .fetch+(ramName)
1079
  .fetch-(ramName)
1080
  .jump(label,[op])
1081
  .jumpc(label,[op])
1082
  .return([op])
1083
  .store(ramName)
1084
  .store+(ramName)
1085
  .store-(ramName)
1086
 
1087
The second kind of macros are designed to ease access to input and output
1088
operations and for memory accesses and to help ensure these operations are
1089
correctly constructed.  These are defined as python scripts in the
1090
core/9x8/macros directory and are automatically loaded into the assembler.
1091
These macros are:
1092
  .fetchindexed(variable)
1093
  .fetchoffset(variable,ix)
1094
  .fetchvalue(variableName)
1095
  .fetchvector(variableName,N)
1096
  .inport(I_name)
1097
  .outport(O_name[,op])
1098
  .outstrobe(O_name)
1099
  .storeindexed(variableName[,op])
1100
  .storeoffset(variableName,ix[,op])
1101
  .storevalue(variableName[,op])
1102
  .storevector(variableName,N)
1103
 
1104
The third kind of macro is user-defined macros.  These macros must be registered
1105
with the assembler using the ".macro" directive.
1106
 
1107
For example, the ".push32" macro is defined by macros/9x8/push32.py and can be
1108
used to push 32-bit (4-byte) values onto the data stack as follows:
1109
 
1110
  .macro push32
1111
  .constant C_X 0x87654321
1112
  .main
1113
    ...
1114
    .push32(0x12345678)
1115
    .push32(C_X)
1116
    .push32(${0x12345678^C_X})
1117
    ...
1118
 
1119
The following macros are provided in macros/9x8:
1120
  .push16(v)    push the 16-bit (2-byte) value "v" onto the data stack with the
1121
                MSB at the top of the data stack
1122 4 sinclairrf
  .push24(v)    push the 24-bit (3-byte) value "v" onto the data stack with the
1123
                MSB at the top of the data stack
1124 3 sinclairrf
  .push32(v)    push the 32-bit (4-byte) value "v" onto the data stack with the
1125
                MSB at the top of the data stack
1126 4 sinclairrf
  .pushByte(v,ix)
1127
                push the ix'th byte of v onto the data stack
1128
                Note:  ix=0 designates the LSB
1129 3 sinclairrf
 
1130
Directories are searched in the following order for macros:
1131
  .
1132
  ./macros
1133
  include paths specified by the '-M' command line option.
1134
  macros/9x8
1135
 
1136
The python scripts in core/9x8/macros and macros/9x8 can be used as design
1137
examples for user-defined macros.  The assembler does some type checking based
1138
on the list provided when the macro is registered by the "AddMacro" method, but
1139
additional type checking is often warranted by the macro "emitFunction" which
1140
emits the actual assembly code.  The ".fetchvector" and ".storevector" macros
1141 4 sinclairrf
demonstrates how to design variable-length macros.  Several macros in
1142
core/9x8/macros illustrate designing macros with optional arguments.
1143 3 sinclairrf
 
1144
It is not an error to repeat the ".macro MACRO_NAME" directive for user-defined
1145
macros.  The assembler will issue a fatal error if a user-defined macro
1146
conflicts with a built-in macro.
1147
 
1148
 
1149 2 sinclairrf
CONDITIONAL COMPILATION
1150
================================================================================
1151
The computer compiler and assembler recognize conditional compilation as
1152
follows:  .IFDEF, .IFNDEF, .ELSE, and .ENDIF can be used in the architecture
1153
file and they can be used to conditionally include functions, files, etc within
1154
the assembly code; .ifdef, .ifndef, .else, and .endif can be used in function
1155
bodies, variable bodies, etc. to conditionally include assembly code, symbols,
1156
or data.  Conditionals cannot cross file boundaries.
1157
 
1158
The computer compiler examines the list of defined symbols such as I/O ports,
1159
I/O signals, etc. to evaluate the true/false condition associated with the
1160
.IFDEF and .IFNDEF commands.  The "-D" option to the computer compiler is
1161
provided to define symbols for enabling conditionally compiled configuration
1162
commands.  Similarly, the assembler examines the list of I/O ports, I/O signals,
1163
parameters, constants, etc. to evaluate the .IFDEF, .IFNDEF, .ifdef, and .ifndef
1164
conditionals.
1165
 
1166
For example, a diagnostic UART can be conditionally included using the
1167
configuration commands:
1168
 
1169
  .IFDEF ENABLE_UART
1170
  PORTCOMMENT Diagnostic UART
1171
  PERIPHERAL UART_Tx outport=O_UART_TX ...
1172
  .ENDIF
1173
 
1174
And the assembly code can include conditional code fragments such the following,
1175
where the existence of the output port is used to determine whether or not to
1176
send a character to that output port:
1177
 
1178
  .ifdef(O_UART_TX) 'A' .outport(O_UART_TX) .endif
1179
 
1180
Invoking the computer compiler with "-D ENABLE_UART" will generate a module with
1181
the UART peripheral and will enable the conditional code sending the 'A'
1182
character to the UART port.
1183
 
1184
The following code can be used to preclude multiple attempted inclusions of an
1185
assembly library file.
1186
 
1187
  ; put these two lines near the top of the file
1188
  .IFNDEF C_FILENAME_INCLUDED
1189
  .constant C_FILENAME_INCLUDED 1
1190
  ; put the library body here
1191
  ...
1192
  ; put this line at the bottom of the file
1193
  .ENDIF ; .IFNDEF C_FILENAME_INCLUDED
1194
 
1195
The ".INCLUDE" configuration command can be used to read configuration commands
1196
from additional sources.
1197
 
1198
 
1199
SIMULATIONS
1200
================================================================================
1201
 
1202
Simulations have been performed with Icarus Verilog, Verilator, and Xilinx'
1203
ISIM.  Icarus Verilog is good for short, simple simulations and is used for the
1204
core and peripheral test benches; Verilator for long simulations of large,
1205
complex systems; and ISIM when Xilinx-specific cores are used.  Verilator is
1206
the fastest simulators I've encountered.  Verilator is also used for lint
1207
checking in the core test benches.
1208
 
1209
 
1210
MEM INITIALIZATION FILE
1211
================================================================================
1212
 
1213
A memory initialization file is produced during compilation.  This file can be
1214
used with tools such as Xilinx' data2mem to modify the SRAM contents without
1215
having to rebuild the entire system.  It is restricted to the opcode memory
1216
initialization.  The file must be processed before it can be used by specific
1217
tools, see doc/MemoryInitialization.html.
1218
 
1219
WARNING:  The values of parameters used in the assembly code must match the
1220
instantiated design.
1221
 
1222
 
1223
THEORY OF OPERATION
1224
================================================================================
1225
 
1226
Registers are used for the top of data stack, "T", and the next-to-top of the
1227
data stack, "N".  The data stack is a separate memory.  This means that the
1228
"DATA_STACK N" configuration command actually allows N+2 values in the data
1229
stack since T and N are not stored in the N-element deep data stack.
1230
 
1231
The return stack is similar in that "R" is the top of the return stack and the
1232
"RETURN_STACK N" allocates an additional N words of memory.  The return stack is
1233
the wider of the 8-bit data width and the program counter width.
1234
 
1235
The program counter is always either incremented by 1 or is set to an address
1236
as controlled by jump, jumpc, call, callc, and return instructions.  The
1237
registered program counter is used to read the next opcode from the instruction
1238
memory and this opcode is also registered in the memory.  This means that there
1239
is a 1 clock cycle delay between the address changing and the associated
1240
instruction being performed.  This is also part of the architecture required to
1241
have the processor operate at one instruction per clock cycle.
1242
 
1243
Separate ALUs are used for the program counter, adders, logical operations, etc.
1244
and MUXes are used to select the values desired for the destination registers.
1245
The instruction execution consists of translating the upper 6 msb of the opcode
1246
into MUX settings and performing opcode-dependent ALU operations as controlled
1247
by the 3 lsb of the opcode (during the first half of the clock cycle) and then
1248
setting the T, N, R, memories, etc. as controlled by the computed MUX settings.
1249
 
1250
The "core.v" file is the code for these operations.  Within this file there are
1251
several "@xxx@" strings that specify where the computer compiler is to insert
1252
code such as I/O declarations, memories, inport interpretation, outport
1253
generation, peripherals, etc.
1254
 
1255
The file structure, i.e., putting the core and the assembler in "core/9x8"
1256
should facilitate application-specific modification of processor.  For example,
1257
the store+, store-, fetch+, and fetch- instructions could be replaced with
1258
additional stack manipulation operations, arithmetic operations with 2 byte
1259
results, etc.  Simply copy the "9x8" directory to something like "9x8_XXX" and
1260
make your modifications in that directory.  The 8-bit peripherals should still
1261
work, but the 9x8 library functions may need rework to accommodate the
1262
modifications.
1263
 
1264
 
1265
MISCELLANEOUS
1266
================================================================================
1267
 
1268 4 sinclairrf
Features and peripherals are still being added and the documentation is
1269
incomplete.  The output HDL is currently restricted to Verilog although a VHDL
1270
package file is automatically generated by the computer compiler.
1271
 
1272 2 sinclairrf
The "INVERT_RESET" configuration command is used to indicate an active-low reset
1273
is input to the micro controller rather than an active-high reset.
1274
 
1275
A VHDL package file is automatically generated by the computer compiler.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.