OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [AXI4_Lite_Slave_DualPortRAM.v] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
//
2
// PERIPHERAL:  AXI4-Lite slave dual-port-RAM interface
3 10 sinclairrf
// Copyright 2014, Sinclair R.F., Inc.
4 2 sinclairrf
//
5
// Note:  While the AXI4-Lite protocol allows simultaneous read and write
6
// operations, only one side of the dual-port RAM is available to the AXI4-lite
7
// interface.  This requires internal arbitration between the two operations
8
// with either the first or the write operation being preferred.
9
//
10
// Note:  The dual-port-ram is implemented as write-through memory.
11
//
12
// Note:  Xilinx' distributed RAM does not support dual-port write operations,
13
//        so a Block RAM coding style is used instead.
14
//
15
generate
16
localparam L__SIZE = @SIZE@;
17
localparam L__NBITS_SIZE = $clog2(L__SIZE);
18
localparam L__RESP_OKAY = 2'b00;
19
localparam L__RESP_EXOKAY = 2'b01;
20
localparam L__RESP_SLVERR = 2'b10;
21
localparam L__RESP_DECERR = 2'b11;
22
// AXI4-Lite side of the dual-port memory;
23
initial o_bresp = L__RESP_OKAY;
24
initial o_rresp = L__RESP_OKAY;
25
reg                     s__axi_idle             = 1'b1;
26
reg                     s__axi_got_waddr        = 1'b0;
27
reg                     s__axi_got_wdata        = 1'b0;
28
reg                     s__axi_got_raddr        = 1'b0;
29
reg [L__NBITS_SIZE-1:2] s__axi_addr             = {(L__NBITS_SIZE-2){1'b0}};
30 4 sinclairrf
initial                 o_awready               = 1'b0;
31
initial                 o_wready                = 1'b0;
32
initial                 o_arready               = 1'b0;
33 2 sinclairrf
always @ (posedge i_aclk)
34
  if (~i_aresetn) begin
35
    s__axi_idle         <= 1'b1;
36
    s__axi_got_waddr    <= 1'b0;
37
    s__axi_got_wdata    <= 1'b0;
38
    s__axi_got_raddr    <= 1'b0;
39
    s__axi_addr         <= {(L__NBITS_SIZE-2){1'b0}};
40 4 sinclairrf
    o_awready           <= 1'b0;
41
    o_wready            <= 1'b0;
42
    o_arready           <= 1'b0;
43 2 sinclairrf
  end else begin
44
    s__axi_idle         <= s__axi_idle;
45
    s__axi_got_waddr    <= s__axi_got_waddr;
46
    s__axi_got_wdata    <= s__axi_got_wdata;
47
    s__axi_got_raddr    <= s__axi_got_raddr;
48
    s__axi_addr         <= s__axi_addr;
49
    o_awready           <= 1'b0;
50
    o_wready            <= 1'b0;
51
    o_arready           <= 1'b0;
52
    if (s__axi_idle) begin
53
      if (i_awvalid) begin
54
        s__axi_idle <= 1'b0;
55
        s__axi_got_waddr <= 1'b1;
56
        s__axi_addr <= i_awaddr[L__NBITS_SIZE-1:2];
57
        o_awready <= 1'b1;
58
      end else if (i_arvalid) begin
59
        s__axi_idle <= 1'b0;
60
        s__axi_got_raddr <= 1'b1;
61
        s__axi_addr <= i_araddr[L__NBITS_SIZE-1:2];
62
        o_arready <= 1'b1;
63
      end
64
    end else if (s__axi_got_waddr) begin
65
      if (i_wvalid) begin
66
        s__axi_got_waddr <= 1'b0;
67
        s__axi_got_wdata <= 1'b1;
68
        o_wready <= 1'b1;
69
      end
70
    end else if (s__axi_got_wdata) begin
71
      if (i_bready) begin
72
        s__axi_got_wdata <= 1'b0;
73
        s__axi_idle <= 1'b1;
74
      end
75
    end else if (s__axi_got_raddr) begin
76
      if (i_rready) begin
77
        s__axi_got_raddr <= 1'b0;
78
        s__axi_idle <= 1'b1;
79
      end
80
    end
81
  end
82
initial o_bvalid = 1'b0;
83
always @ (*)
84
  o_bvalid = s__axi_got_wdata;
85 4 sinclairrf
reg s__axi_arready_s = 1'b0;
86
always @ (posedge i_aclk)
87
  if (~i_aresetn)
88
    s__axi_arready_s <= 1'b0;
89
  else
90
    s__axi_arready_s <= o_arready;
91 2 sinclairrf
initial o_rvalid = 1'b0;
92 4 sinclairrf
always @ (posedge i_aclk)
93
  if (~i_aresetn)
94
    o_rvalid <= 1'b0;
95
  else if (s__axi_arready_s)
96
    o_rvalid <= 1'b1;
97
  else if (i_rready)
98
    o_rvalid <= 1'b0;
99
  else
100
    o_rvalid <= o_rvalid;
101 2 sinclairrf
// signals common to both memory architectures
102
reg [L__NBITS_SIZE-1:2] s__axi_addr_s = {(L__NBITS_SIZE-2){1'b0}};
103
always @ (posedge i_aclk)
104
  s__axi_addr_s <= s__axi_addr;
105
reg [3:0] s__wstrb = 4'd0;
106
genvar ix__wstrb;
107
for (ix__wstrb=0; ix__wstrb<4; ix__wstrb=ix__wstrb+1) begin : gen__wstrb
108
  always @ (posedge i_aclk)
109
    s__wstrb[ix__wstrb] <= s__axi_got_waddr && i_wvalid && i_wstrb[ix__wstrb];
110
end
111
reg [7:0] s__mc_wdata = 8'd0;
112
always @ (posedge i_clk)
113
  s__mc_wdata <= s_N;
114
// different memory architectures required by different synthesis tools
115
if (@MEM8@) begin : gen_mem8
116
reg [7:0] s__mem[L__SIZE-1:0];
117
genvar ix__mem;
118
for (ix__mem=0; ix__mem<4; ix__mem=ix__mem+1) begin : gen__wr
119
  localparam L__ix_mem = ix__mem;
120
  always @ (posedge i_aclk) begin
121
    if (s__wstrb[ix__mem])
122
      s__mem[{ s__axi_addr_s, L__ix_mem[0+:2] }] = i_wdata[8*ix__mem+:8];
123
    o_rdata[8*ix__mem+:8] <= s__mem[{ s__axi_addr_s, L__ix_mem[0+:2] }];
124
  end
125
end
126
// Micro controller side of the dual-port memory.
127
reg s__mc_wr = 1'b0;
128
always @ (posedge i_clk)
129
  s__mc_wr <= s_outport && (s_T == @IX_WRITE@);
130
reg [L__NBITS_SIZE-1:0] s__mc_addr_s = {(L__NBITS_SIZE){1'b0}};
131
always @ (posedge i_clk) begin
132
  s__mc_addr_s <= s__mc_addr;
133
  if (s__mc_wr)
134
    s__mem[s__mc_addr_s] = s__mc_wdata;
135
  s__mc_rdata <= s__mem[s__mc_addr_s];
136
end
137
end else begin : gen_mem32
138
reg [31:0] s__mem[L__SIZE/4-1:0];
139
integer ix__axi;
140
always @ (posedge i_aclk)
141
  for (ix__axi=0; ix__axi<4; ix__axi=ix__axi+1)
142
    if (s__wstrb[ix__axi]) s__mem[s__axi_addr_s][8*ix__axi+:8] = i_wdata[8*ix__axi+:8];
143
always @ (posedge i_aclk)
144
  o_rdata <= s__mem[s__axi_addr_s];
145
// Micro controller side of the dual-port memory.
146
reg [L__NBITS_SIZE-1:2] s__mc_addr_s = {(L__NBITS_SIZE-2){1'b0}};
147
always @ (posedge i_clk)
148
  s__mc_addr_s <= s__mc_addr[L__NBITS_SIZE-1:2];
149
integer ix__mc_wr;
150
reg [3:0] s__mc_wr = 4'd0;
151
always @ (posedge i_clk)
152
  for (ix__mc_wr=0; ix__mc_wr<4; ix__mc_wr=ix__mc_wr+1)
153
    s__mc_wr[ix__mc_wr] <= s_outport && (s_T == @IX_WRITE@) && (s__mc_addr[0+:2] == ix__mc_wr[0+:2]);
154
integer ix__mc_we;
155
always @ (posedge i_clk)
156
  for (ix__mc_we=0; ix__mc_we<4; ix__mc_we=ix__mc_we+1)
157
    if (s__mc_wr[ix__mc_we]) s__mem[s__mc_addr_s][8*ix__mc_we+:8] = s__mc_wdata;
158
reg [31:0] s__mc_rdata32 = 32'd0;
159
always @ (posedge i_clk)
160
  s__mc_rdata32 <= s__mem[s__mc_addr_s];
161
always @ (*)
162
  s__mc_rdata = (s__mc_addr[0+:2] == 2'd0) ? s__mc_rdata32[ 0+:8]
163
              : (s__mc_addr[0+:2] == 2'd1) ? s__mc_rdata32[ 8+:8]
164
              : (s__mc_addr[0+:2] == 2'd2) ? s__mc_rdata32[16+:8]
165
              :                              s__mc_rdata32[24+:8];
166
end
167
endgenerate

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.