OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [UART_Tx/] [tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2012, Sinclair R.F., Inc.
4
 *
5
 * Test bench for the UART_Tx peripheral.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
// 100 MHz clock
14
reg s_clk = 1'b1;
15
always @ (s_clk)
16
  s_clk <= #5 ~s_clk;
17
 
18
reg s_rst = 1'b1;
19
initial begin
20
  repeat (5) @ (posedge s_clk);
21
  s_rst = 1'b0;
22
end
23
 
24
wire s_uart1_tx;
25
wire s_uart2_tx;
26
wire s_uart3_tx;
27
wire s_done;
28
tb_UART_Tx #(
29
  .G_CLK_FREQ_HZ        (100_000_000),
30
  .G_BAUD               (115200)
31
)uut(
32
  // synchronous reset and processor clock
33
  .i_rst        (s_rst),
34
  .i_clk        (s_clk),
35
  .o_uart1_tx   (s_uart1_tx),
36
  .o_uart2_tx   (s_uart2_tx),
37
  .o_uart3_tx   (s_uart3_tx),
38
  .o_done       (s_done)
39
);
40
 
41
always @ (s_uart1_tx, s_uart2_tx, s_uart3_tx, s_done)
42
  $display("%12d : %b %b %b", $time, s_uart1_tx, s_uart2_tx, s_uart3_tx);
43
 
44
always @ (posedge s_clk)
45
  if (s_done)
46
    $finish;
47
 
48
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.