OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [open_drain/] [tb_open_drain.9x8-template] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
#
2
# Copyright 2012, Sinclair R.F., Inc.
3
#
4
# Test bench for open_drain peripheral.
5
#
6
 
7
ARCHITECTURE    core/9x8 Verilog
8
INSTRUCTION     64
9
DATA_STACK      32
10
RETURN_STACK    16
11
 
12
OUTPORT         1-bit           o_env           O_ENV
13
 
14
PERIPHERAL      open_drain      inport=I_OD \
15
                                outport=O_OD \
16
                                iosignal=io_od \
17
                                @WIDTH@
18
 
19
ASSEMBLY tb_open_drain.s

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.