OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [servo_motor/] [tb_servo_motor.s] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 sinclairrf
; Copyright 2015, Sinclair R.F., Inc.
2
;
3
; Test bench for servo_motor peripheral.
4
 
5
.main
6
 
7
; Wait for two cycles of the default servo settings pass.
8
${2-1} :loop_startup .inport(I_triple) 0= .jumpc(loop_startup) .jumpc(loop_startup,1-) drop
9
 
10
; Modify the servo settings and wait for two cycles.
11
 
12
125 .outport(O_triple_1)
13
250 .outport(O_triple_2)
14
${2-1} :loop_first .inport(I_triple) 0= .jumpc(loop_first) .jumpc(loop_first,1-) drop
15
 
16
; Signal program termination.
17
0x01 .outport(O_DONE)
18
 
19
; Wait forever.
20
:infinite .jump(infinite)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.