OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [stepper_motor/] [tb.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2015, Sinclair R.F., Inc.
4
 *
5
 * Test bench for the stepper_motor peripheral.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
// 2 MHz clock
14
reg s_clk = 1'b1;
15
always @ (s_clk)
16
  s_clk <= #250 ~s_clk;
17
 
18
reg s_rst = 1'b1;
19
initial begin
20
  repeat (5) @ (posedge s_clk);
21
  s_rst = 1'b0;
22
end
23
 
24
wire    s_stepper_dir;
25
wire    s_stepper_step;
26
wire    s_done;
27
tb_stepper_motor uut(
28
  // synchronous reset and processor clock
29
  .i_rst                (s_rst),
30
  .i_clk                (s_clk),
31
  // stepper motor controls
32
  .o_stepper_dir        (s_stepper_dir),
33
  .o_stepper_step       (s_stepper_step),
34
  .i_stepper_error      (1'b0),
35
  // program termination
36
  .o_done               (s_done)
37
);
38
 
39
always @ (posedge s_stepper_step)
40
  $display("%12d : dir = %h", $time, s_stepper_dir);
41
 
42
always @ (negedge s_clk)
43
  if (s_done)
44
    $finish;
45
 
46
//initial begin
47
//  $dumpfile("tb.vcd");
48
//  $dumpvars(1,tb);
49
//end
50
 
51
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.