OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [stepper_motor/] [tb_stepper_motor.9x8] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 sinclairrf
#
2
# Copyright 2015, Sinclair R.F., Inc.
3
#
4
# Test bench for stepper_motor peripheral.
5
#
6
 
7
ARCHITECTURE    core/9x8 Verilog
8
ASSEMBLY        tb_stepper_motor.s
9
 
10
INSTRUCTION     256
11
DATA_STACK      16
12
RETURN_STACK    16
13
 
14
CONSTANT        C_CLK_FREQ_HZ   2_000_000
15
 
16
PORTCOMMENT     stepper motor controls
17
CONSTANT        C_RATE_SCALE    3
18
CONSTANT        C_RATE_RES      16
19
CONSTANT        C_ACCEL_SCALE   22
20
CONSTANT        C_ACCEL_RES     34
21
CONSTANT        C_ACCUM_RES     34
22
CONSTANT        C_COUNT_WIDTH   19
23
PERIPHERAL      stepper_motor   basename=stepper                        \
24
                                outcontrol=O_CONTROLWORD                \
25
                                outrecord=O_CONTROLWORD_WR              \
26
                                outrun=O_GO                             \
27
                                indone=I_DONE                           \
28
                                inerror=I_ERROR                         \
29
                                ratemethod=C_CLK_FREQ_HZ/500_000        \
30
                                ratescale=C_RATE_SCALE                  \
31
                                rateres=C_RATE_RES                      \
32
                                accelscale=C_ACCEL_SCALE                \
33
                                accelres=C_ACCEL_RES                    \
34
                                accumres=C_ACCUM_RES                    \
35
                                countwidth=C_COUNT_WIDTH
36
 
37
PORTCOMMENT     program termination
38
OUTPORT         1-bit           o_done          O_DONE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.