OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [peripherals/] [tb/] [timer/] [tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2012, Sinclair R.F., Inc.
4
 *
5
 * Test bench for the UART_Tx peripheral.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
// 7.3728 MHz clock
14
reg s_clk = 1'b1;
15
always @ (s_clk)
16
  s_clk <= #67.817 ~s_clk;
17
 
18
reg s_rst = 1'b1;
19
initial begin
20
  repeat (5) @ (posedge s_clk);
21
  s_rst = 1'b0;
22
end
23
 
24
wire [1:0] s_event;
25
wire       s_event_wr;
26
wire       s_done;
27
tb_timer #(
28
  .G_CLK_FREQ_HZ        (7_372_800),
29
  .G_BAUD               (115200)
30
)uut(
31
  // synchronous reset and processor clock
32
  .i_rst        (s_rst),
33
  .i_clk        (s_clk),
34
  .o_event      (s_event),
35
  .o_event_wr   (s_event_wr),
36
  .o_done       (s_done)
37
);
38
 
39
always @ (posedge s_clk)
40
  if (s_event_wr)
41
    $display("%12d : %d", $time, s_event);
42
 
43
always @ (posedge s_clk)
44
  if (s_done)
45
    $finish;
46
 
47
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.